{
 "cells": [
  {
   "cell_type": "code",
   "execution_count": 1,
   "metadata": {
    "collapsed": true
   },
   "outputs": [],
   "source": [
    "def set_axes(axis):\n",
    "    axis.spines['top'].set_visible(False)\n",
    "    axis.spines['right'].set_visible(False)\n",
    "    axis.spines['bottom'].set_position(('outward', 10))\n",
    "    axis.spines['left'].set_position(('outward', 10))\n",
    "    axis.yaxis.set_ticks_position('left')\n",
    "    axis.xaxis.set_ticks_position('bottom')\n",
    "\n"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 2,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "def get_viridis():\n",
    "    _viridis_data = [[0.267004, 0.004874, 0.329415],\n",
    "                 [0.268510, 0.009605, 0.335427],\n",
    "                 [0.269944, 0.014625, 0.341379],\n",
    "                 [0.271305, 0.019942, 0.347269],\n",
    "                 [0.272594, 0.025563, 0.353093],\n",
    "                 [0.273809, 0.031497, 0.358853],\n",
    "                 [0.274952, 0.037752, 0.364543],\n",
    "                 [0.276022, 0.044167, 0.370164],\n",
    "                 [0.277018, 0.050344, 0.375715],\n",
    "                 [0.277941, 0.056324, 0.381191],\n",
    "                 [0.278791, 0.062145, 0.386592],\n",
    "                 [0.279566, 0.067836, 0.391917],\n",
    "                 [0.280267, 0.073417, 0.397163],\n",
    "                 [0.280894, 0.078907, 0.402329],\n",
    "                 [0.281446, 0.084320, 0.407414],\n",
    "                 [0.281924, 0.089666, 0.412415],\n",
    "                 [0.282327, 0.094955, 0.417331],\n",
    "                 [0.282656, 0.100196, 0.422160],\n",
    "                 [0.282910, 0.105393, 0.426902],\n",
    "                 [0.283091, 0.110553, 0.431554],\n",
    "                 [0.283197, 0.115680, 0.436115],\n",
    "                 [0.283229, 0.120777, 0.440584],\n",
    "                 [0.283187, 0.125848, 0.444960],\n",
    "                 [0.283072, 0.130895, 0.449241],\n",
    "                 [0.282884, 0.135920, 0.453427],\n",
    "                 [0.282623, 0.140926, 0.457517],\n",
    "                 [0.282290, 0.145912, 0.461510],\n",
    "                 [0.281887, 0.150881, 0.465405],\n",
    "                 [0.281412, 0.155834, 0.469201],\n",
    "                 [0.280868, 0.160771, 0.472899],\n",
    "                 [0.280255, 0.165693, 0.476498],\n",
    "                 [0.279574, 0.170599, 0.479997],\n",
    "                 [0.278826, 0.175490, 0.483397],\n",
    "                 [0.278012, 0.180367, 0.486697],\n",
    "                 [0.277134, 0.185228, 0.489898],\n",
    "                 [0.276194, 0.190074, 0.493001],\n",
    "                 [0.275191, 0.194905, 0.496005],\n",
    "                 [0.274128, 0.199721, 0.498911],\n",
    "                 [0.273006, 0.204520, 0.501721],\n",
    "                 [0.271828, 0.209303, 0.504434],\n",
    "                 [0.270595, 0.214069, 0.507052],\n",
    "                 [0.269308, 0.218818, 0.509577],\n",
    "                 [0.267968, 0.223549, 0.512008],\n",
    "                 [0.266580, 0.228262, 0.514349],\n",
    "                 [0.265145, 0.232956, 0.516599],\n",
    "                 [0.263663, 0.237631, 0.518762],\n",
    "                 [0.262138, 0.242286, 0.520837],\n",
    "                 [0.260571, 0.246922, 0.522828],\n",
    "                 [0.258965, 0.251537, 0.524736],\n",
    "                 [0.257322, 0.256130, 0.526563],\n",
    "                 [0.255645, 0.260703, 0.528312],\n",
    "                 [0.253935, 0.265254, 0.529983],\n",
    "                 [0.252194, 0.269783, 0.531579],\n",
    "                 [0.250425, 0.274290, 0.533103],\n",
    "                 [0.248629, 0.278775, 0.534556],\n",
    "                 [0.246811, 0.283237, 0.535941],\n",
    "                 [0.244972, 0.287675, 0.537260],\n",
    "                 [0.243113, 0.292092, 0.538516],\n",
    "                 [0.241237, 0.296485, 0.539709],\n",
    "                 [0.239346, 0.300855, 0.540844],\n",
    "                 [0.237441, 0.305202, 0.541921],\n",
    "                 [0.235526, 0.309527, 0.542944],\n",
    "                 [0.233603, 0.313828, 0.543914],\n",
    "                 [0.231674, 0.318106, 0.544834],\n",
    "                 [0.229739, 0.322361, 0.545706],\n",
    "                 [0.227802, 0.326594, 0.546532],\n",
    "                 [0.225863, 0.330805, 0.547314],\n",
    "                 [0.223925, 0.334994, 0.548053],\n",
    "                 [0.221989, 0.339161, 0.548752],\n",
    "                 [0.220057, 0.343307, 0.549413],\n",
    "                 [0.218130, 0.347432, 0.550038],\n",
    "                 [0.216210, 0.351535, 0.550627],\n",
    "                 [0.214298, 0.355619, 0.551184],\n",
    "                 [0.212395, 0.359683, 0.551710],\n",
    "                 [0.210503, 0.363727, 0.552206],\n",
    "                 [0.208623, 0.367752, 0.552675],\n",
    "                 [0.206756, 0.371758, 0.553117],\n",
    "                 [0.204903, 0.375746, 0.553533],\n",
    "                 [0.203063, 0.379716, 0.553925],\n",
    "                 [0.201239, 0.383670, 0.554294],\n",
    "                 [0.199430, 0.387607, 0.554642],\n",
    "                 [0.197636, 0.391528, 0.554969],\n",
    "                 [0.195860, 0.395433, 0.555276],\n",
    "                 [0.194100, 0.399323, 0.555565],\n",
    "                 [0.192357, 0.403199, 0.555836],\n",
    "                 [0.190631, 0.407061, 0.556089],\n",
    "                 [0.188923, 0.410910, 0.556326],\n",
    "                 [0.187231, 0.414746, 0.556547],\n",
    "                 [0.185556, 0.418570, 0.556753],\n",
    "                 [0.183898, 0.422383, 0.556944],\n",
    "                 [0.182256, 0.426184, 0.557120],\n",
    "                 [0.180629, 0.429975, 0.557282],\n",
    "                 [0.179019, 0.433756, 0.557430],\n",
    "                 [0.177423, 0.437527, 0.557565],\n",
    "                 [0.175841, 0.441290, 0.557685],\n",
    "                 [0.174274, 0.445044, 0.557792],\n",
    "                 [0.172719, 0.448791, 0.557885],\n",
    "                 [0.171176, 0.452530, 0.557965],\n",
    "                 [0.169646, 0.456262, 0.558030],\n",
    "                 [0.168126, 0.459988, 0.558082],\n",
    "                 [0.166617, 0.463708, 0.558119],\n",
    "                 [0.165117, 0.467423, 0.558141],\n",
    "                 [0.163625, 0.471133, 0.558148],\n",
    "                 [0.162142, 0.474838, 0.558140],\n",
    "                 [0.160665, 0.478540, 0.558115],\n",
    "                 [0.159194, 0.482237, 0.558073],\n",
    "                 [0.157729, 0.485932, 0.558013],\n",
    "                 [0.156270, 0.489624, 0.557936],\n",
    "                 [0.154815, 0.493313, 0.557840],\n",
    "                 [0.153364, 0.497000, 0.557724],\n",
    "                 [0.151918, 0.500685, 0.557587],\n",
    "                 [0.150476, 0.504369, 0.557430],\n",
    "                 [0.149039, 0.508051, 0.557250],\n",
    "                 [0.147607, 0.511733, 0.557049],\n",
    "                 [0.146180, 0.515413, 0.556823],\n",
    "                 [0.144759, 0.519093, 0.556572],\n",
    "                 [0.143343, 0.522773, 0.556295],\n",
    "                 [0.141935, 0.526453, 0.555991],\n",
    "                 [0.140536, 0.530132, 0.555659],\n",
    "                 [0.139147, 0.533812, 0.555298],\n",
    "                 [0.137770, 0.537492, 0.554906],\n",
    "                 [0.136408, 0.541173, 0.554483],\n",
    "                 [0.135066, 0.544853, 0.554029],\n",
    "                 [0.133743, 0.548535, 0.553541],\n",
    "                 [0.132444, 0.552216, 0.553018],\n",
    "                 [0.131172, 0.555899, 0.552459],\n",
    "                 [0.129933, 0.559582, 0.551864],\n",
    "                 [0.128729, 0.563265, 0.551229],\n",
    "                 [0.127568, 0.566949, 0.550556],\n",
    "                 [0.126453, 0.570633, 0.549841],\n",
    "                 [0.125394, 0.574318, 0.549086],\n",
    "                 [0.124395, 0.578002, 0.548287],\n",
    "                 [0.123463, 0.581687, 0.547445],\n",
    "                 [0.122606, 0.585371, 0.546557],\n",
    "                 [0.121831, 0.589055, 0.545623],\n",
    "                 [0.121148, 0.592739, 0.544641],\n",
    "                 [0.120565, 0.596422, 0.543611],\n",
    "                 [0.120092, 0.600104, 0.542530],\n",
    "                 [0.119738, 0.603785, 0.541400],\n",
    "                 [0.119512, 0.607464, 0.540218],\n",
    "                 [0.119423, 0.611141, 0.538982],\n",
    "                 [0.119483, 0.614817, 0.537692],\n",
    "                 [0.119699, 0.618490, 0.536347],\n",
    "                 [0.120081, 0.622161, 0.534946],\n",
    "                 [0.120638, 0.625828, 0.533488],\n",
    "                 [0.121380, 0.629492, 0.531973],\n",
    "                 [0.122312, 0.633153, 0.530398],\n",
    "                 [0.123444, 0.636809, 0.528763],\n",
    "                 [0.124780, 0.640461, 0.527068],\n",
    "                 [0.126326, 0.644107, 0.525311],\n",
    "                 [0.128087, 0.647749, 0.523491],\n",
    "                 [0.130067, 0.651384, 0.521608],\n",
    "                 [0.132268, 0.655014, 0.519661],\n",
    "                 [0.134692, 0.658636, 0.517649],\n",
    "                 [0.137339, 0.662252, 0.515571],\n",
    "                 [0.140210, 0.665859, 0.513427],\n",
    "                 [0.143303, 0.669459, 0.511215],\n",
    "                 [0.146616, 0.673050, 0.508936],\n",
    "                 [0.150148, 0.676631, 0.506589],\n",
    "                 [0.153894, 0.680203, 0.504172],\n",
    "                 [0.157851, 0.683765, 0.501686],\n",
    "                 [0.162016, 0.687316, 0.499129],\n",
    "                 [0.166383, 0.690856, 0.496502],\n",
    "                 [0.170948, 0.694384, 0.493803],\n",
    "                 [0.175707, 0.697900, 0.491033],\n",
    "                 [0.180653, 0.701402, 0.488189],\n",
    "                 [0.185783, 0.704891, 0.485273],\n",
    "                 [0.191090, 0.708366, 0.482284],\n",
    "                 [0.196571, 0.711827, 0.479221],\n",
    "                 [0.202219, 0.715272, 0.476084],\n",
    "                 [0.208030, 0.718701, 0.472873],\n",
    "                 [0.214000, 0.722114, 0.469588],\n",
    "                 [0.220124, 0.725509, 0.466226],\n",
    "                 [0.226397, 0.728888, 0.462789],\n",
    "                 [0.232815, 0.732247, 0.459277],\n",
    "                 [0.239374, 0.735588, 0.455688],\n",
    "                 [0.246070, 0.738910, 0.452024],\n",
    "                 [0.252899, 0.742211, 0.448284],\n",
    "                 [0.259857, 0.745492, 0.444467],\n",
    "                 [0.266941, 0.748751, 0.440573],\n",
    "                 [0.274149, 0.751988, 0.436601],\n",
    "                 [0.281477, 0.755203, 0.432552],\n",
    "                 [0.288921, 0.758394, 0.428426],\n",
    "                 [0.296479, 0.761561, 0.424223],\n",
    "                 [0.304148, 0.764704, 0.419943],\n",
    "                 [0.311925, 0.767822, 0.415586],\n",
    "                 [0.319809, 0.770914, 0.411152],\n",
    "                 [0.327796, 0.773980, 0.406640],\n",
    "                 [0.335885, 0.777018, 0.402049],\n",
    "                 [0.344074, 0.780029, 0.397381],\n",
    "                 [0.352360, 0.783011, 0.392636],\n",
    "                 [0.360741, 0.785964, 0.387814],\n",
    "                 [0.369214, 0.788888, 0.382914],\n",
    "                 [0.377779, 0.791781, 0.377939],\n",
    "                 [0.386433, 0.794644, 0.372886],\n",
    "                 [0.395174, 0.797475, 0.367757],\n",
    "                 [0.404001, 0.800275, 0.362552],\n",
    "                 [0.412913, 0.803041, 0.357269],\n",
    "                 [0.421908, 0.805774, 0.351910],\n",
    "                 [0.430983, 0.808473, 0.346476],\n",
    "                 [0.440137, 0.811138, 0.340967],\n",
    "                 [0.449368, 0.813768, 0.335384],\n",
    "                 [0.458674, 0.816363, 0.329727],\n",
    "                 [0.468053, 0.818921, 0.323998],\n",
    "                 [0.477504, 0.821444, 0.318195],\n",
    "                 [0.487026, 0.823929, 0.312321],\n",
    "                 [0.496615, 0.826376, 0.306377],\n",
    "                 [0.506271, 0.828786, 0.300362],\n",
    "                 [0.515992, 0.831158, 0.294279],\n",
    "                 [0.525776, 0.833491, 0.288127],\n",
    "                 [0.535621, 0.835785, 0.281908],\n",
    "                 [0.545524, 0.838039, 0.275626],\n",
    "                 [0.555484, 0.840254, 0.269281],\n",
    "                 [0.565498, 0.842430, 0.262877],\n",
    "                 [0.575563, 0.844566, 0.256415],\n",
    "                 [0.585678, 0.846661, 0.249897],\n",
    "                 [0.595839, 0.848717, 0.243329],\n",
    "                 [0.606045, 0.850733, 0.236712],\n",
    "                 [0.616293, 0.852709, 0.230052],\n",
    "                 [0.626579, 0.854645, 0.223353],\n",
    "                 [0.636902, 0.856542, 0.216620],\n",
    "                 [0.647257, 0.858400, 0.209861],\n",
    "                 [0.657642, 0.860219, 0.203082],\n",
    "                 [0.668054, 0.861999, 0.196293],\n",
    "                 [0.678489, 0.863742, 0.189503],\n",
    "                 [0.688944, 0.865448, 0.182725],\n",
    "                 [0.699415, 0.867117, 0.175971],\n",
    "                 [0.709898, 0.868751, 0.169257],\n",
    "                 [0.720391, 0.870350, 0.162603],\n",
    "                 [0.730889, 0.871916, 0.156029],\n",
    "                 [0.741388, 0.873449, 0.149561],\n",
    "                 [0.751884, 0.874951, 0.143228],\n",
    "                 [0.762373, 0.876424, 0.137064],\n",
    "                 [0.772852, 0.877868, 0.131109],\n",
    "                 [0.783315, 0.879285, 0.125405],\n",
    "                 [0.793760, 0.880678, 0.120005],\n",
    "                 [0.804182, 0.882046, 0.114965],\n",
    "                 [0.814576, 0.883393, 0.110347],\n",
    "                 [0.824940, 0.884720, 0.106217],\n",
    "                 [0.835270, 0.886029, 0.102646],\n",
    "                 [0.845561, 0.887322, 0.099702],\n",
    "                 [0.855810, 0.888601, 0.097452],\n",
    "                 [0.866013, 0.889868, 0.095953],\n",
    "                 [0.876168, 0.891125, 0.095250],\n",
    "                 [0.886271, 0.892374, 0.095374],\n",
    "                 [0.896320, 0.893616, 0.096335],\n",
    "                 [0.906311, 0.894855, 0.098125],\n",
    "                 [0.916242, 0.896091, 0.100717],\n",
    "                 [0.926106, 0.897330, 0.104071],\n",
    "                 [0.935904, 0.898570, 0.108131],\n",
    "                 [0.945636, 0.899815, 0.112838],\n",
    "                 [0.955300, 0.901065, 0.118128],\n",
    "                 [0.964894, 0.902323, 0.123941],\n",
    "                 [0.974417, 0.903590, 0.130215],\n",
    "                 [0.983868, 0.904867, 0.136897],\n",
    "                 [0.993248, 0.906157, 0.143936]]\n",
    "\n",
    "    from matplotlib.colors import ListedColormap\n",
    "\n",
    "    cmaps = {}\n",
    "    cmaps['viridis'] = ListedColormap(_viridis_data, name='viridis')\n",
    "    cmaps['viridis_r'] = ListedColormap(_viridis_data[::-1], name='viridis_r')\n",
    "\n",
    "\n",
    "    viridis = cmaps['viridis']\n",
    "    viridis_r = cmaps['viridis_r']\n",
    "    cm.register_cmap(cmap=viridis)\n",
    "    cm.register_cmap(cmap=viridis_r)\n",
    "    "
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 3,
   "metadata": {
    "collapsed": true
   },
   "outputs": [],
   "source": [
    "# some functions\n",
    "\n",
    "def truncated_gauss(N, mu, sigma=0.05, a=0, b=2): \n",
    "    \"\"\"Return a N random numbers from a truncated (a,b) Gaussian distribution.\"\"\" \n",
    "\n",
    "    pos = np.zeros(N)\n",
    "    i = 0\n",
    "    while i<N: \n",
    "        x = gauss(mu, sigma)\n",
    "        #x = np.random.normal(mu,sigma) \n",
    "        if a <= x <= b: \n",
    "            pos[i] = round(x,2)\n",
    "            i += 1\n",
    "    return pos\n",
    "\n",
    "def get_uniform(N, a=0, b=1):\n",
    "    rs_spatial = RandomState(params['Inhibition']['pos_seed'])\n",
    "    pos = (rs_spatial.rand(N)*a)+(b-a) # a=0.3 and b =0.6 to get values between 0.3 and 0.6, which is between 100 and 300 um\n",
    "    return pos\n",
    "\n",
    "\n",
    "\n",
    "def get_interneuron():\n",
    "    interneuron = neuron.h.Section()\n",
    "    interneuron.L = 67\n",
    "    interneuron.diam = 67 # so that area is about 14000 um2\n",
    "    interneuron.nseg = 1\n",
    "    interneuron.Ra = 100\n",
    "    interneuron.cm = 1\n",
    "    \n",
    "    interneuron.insert('pas')\n",
    "    for seg in interneuron:\n",
    "        seg.pas.g = 0.00015\n",
    "        seg.pas.e = -70\n",
    "    \n",
    "    interneuron.insert('hh2')\n",
    "    interneuron.vtraub_hh2 = -55 #resting Vm, BJ was -55\n",
    "    interneuron.gnabar_hh2 = 0.05 #McCormick=15 muS, thal was 0.09\n",
    "    interneuron.gkbar_hh2 = 0.01 #spike duration of interneurons\n",
    "    interneuron.ena = 50 \n",
    "    interneuron.ek = -100\n",
    "\n",
    "    return interneuron\n",
    "    \n",
    "def get_netstim(no_reps,freq,kind):\n",
    "    AP_DELAY  = 7.5 # approximate time between EPSP onset and AP peak\n",
    "    ns = h.NetStim()\n",
    "    ns.interval = 1000.0/freq\n",
    "    ns.start = WARM_UP-AP_DELAY # ms (most likely) start time of first spike  \n",
    "    \n",
    "    if kind == \"Poisson\":\n",
    "        ns.number = 1e9 # (average) number of spikes\n",
    "        ns.noise = 1 \n",
    "    elif kind == \"deterministic\":\n",
    "        ns.number = no_reps # (average) number of spikes\n",
    "    else:\n",
    "        print \"kind of input unknown\"\n",
    "        return ValueError\n",
    "        \n",
    "    return ns\n"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 4,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "import neuron\n",
    "from neuron import h\n",
    "import sys\n",
    "from ballandstickL5 import *\n",
    "from numpy.random import RandomState\n",
    "from random import gauss\n",
    "import matplotlib.cm     as cm\n"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Parameter Settings"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 5,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "params = {\n",
    "    'visual': 'figure',\n",
    "    'results_file': 'pairingscenarios',\n",
    "    'Input':\n",
    "        {\n",
    "        'freq': 10,\n",
    "        'kind': 'deterministic'\n",
    "\n",
    "        },\n",
    "    'Neuron':\n",
    "        {\n",
    "        # morphology\n",
    "        'a_diam':2,\n",
    "        's_diam':18.5,\n",
    "        'd_diam':2,\n",
    "        'd_length':500,              \n",
    "        'n_seg':201,\n",
    "        # passive parameters\n",
    "        'R_m':40000,\n",
    "        'R_a':150, \n",
    "        'C_m':0.75,              \n",
    "        'E_leak':-70,\n",
    "        'V_rest':-70,\n",
    "        # active conductances\n",
    "        'E_Na':60,\n",
    "        'E_K':-80,\n",
    "        'E_Ca':140,\n",
    "        'g_Na':0.009,\n",
    "        'g_K':0.01,\n",
    "        'g_KA':0.029, \n",
    "        'slope_KA':5,\n",
    "        ##calcium\n",
    "        'gsca': 1.5, \n",
    "        'git2': 0.009, \n",
    "        'g_KCa':2.5, \n",
    "        'ifca': False,\n",
    "        # ais\n",
    "        'g_Na_ais':0.3,\n",
    "        'g_Na_ais_shifted':0.3,\n",
    "        'ifshift':True,\n",
    "        'dend_vshift':5\n",
    "        },\n",
    "    'Stimulation':\n",
    "        {\n",
    "        'amp':0.3        \n",
    "        },\n",
    "    'Excitation':\n",
    "        {\n",
    "        'freq': 10,\n",
    "        'w_ee': 0.005, \n",
    "        'w_ei': 0.2,\n",
    "        'no_epsps': 8,\n",
    "        'tau1': 0.5,\n",
    "        'tau2': 2\n",
    "        },\n",
    "    'Inhibition':\n",
    "        {\n",
    "        'shunt_reversal':-74,\n",
    "        'pos': 0.4,\n",
    "        'tau1':0.5,\n",
    "        'tau2':5,\n",
    "        'delay':0,\n",
    "        'weight':0.00001, \n",
    "        'timing' : -1, \n",
    "        'random': 'random',\n",
    "        'pos_seed': 6223905,        \n",
    "        'seed': 4260404,\n",
    "        'jitter_sigma': 0.5,\n",
    "        'pos_sigma': 0, #0.02\n",
    "        'p_inh':1,\n",
    "        'weight_distribution':'Delta', #'Exponential', 'Beta'\n",
    "        'spatial_distribution': 'Normal'#'Normal'\n",
    "        },\n",
    "    \n",
    "   'STDP':\n",
    "       {\n",
    "        'delta_t': 0, \n",
    "        'thresh' : -40,\n",
    "        'shift'  : 1.27, \n",
    "        'potentiation': 0.01,\n",
    "        'depression'  : 0.01,\n",
    "        'tau_p' : 10,\n",
    "        'tau_d' : 10,\n",
    "        'wmax': 0.01,\n",
    "        'alpha': 0.005,\n",
    "        'rule': 'anti-Hebbian', \n",
    "        'learning_rate': 1, \n",
    "        'no_reps': 100,\n",
    "        'pot_l':0.02,\n",
    "        'pot_r':1.27\n",
    "       },    \n",
    "    'sim':\n",
    "        {\n",
    "        'duration' : 1,\n",
    "        },\n",
    "    'plot':\n",
    "        {\n",
    "        'version':1        \n",
    "        }\n",
    "}\n",
    "     "
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 6,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "NO_INH = 100 # number of inhibitory synapses\n",
    "NO_REPS = 100 #number of pairings\n",
    "DT=0.025 # ms, integration time step\n",
    "POST_AMP = 0.2 # nA, amplitude of current injection to trigger the POST-synaptic spike\n",
    "WARM_UP=100 # ms\n",
    "\n",
    "freq = params['Input']['freq']\n",
    "kind = params['Input']['kind']\n",
    "\n",
    "delta_t = params['STDP']['delta_t']\n",
    "learning_rate = params['STDP']['learning_rate']\n",
    "no_reps = params['STDP']['no_reps']\n",
    "\n",
    "weight_distribution = params['Inhibition']['weight_distribution']\n",
    "inh_pos = params['Inhibition']['pos']\n",
    "pos_sigma = params['Inhibition']['pos_sigma']\n",
    "\n",
    "sigma = params['Inhibition']['jitter_sigma']"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Circuit elements"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 7,
   "metadata": {
    "collapsed": false
   },
   "outputs": [
    {
     "name": "stdout",
     "output_type": "stream",
     "text": [
      "False\n"
     ]
    }
   ],
   "source": [
    "# create cell\n",
    "cell = Neuron(params['Neuron'])\n",
    "\n",
    "# create interneuron    \n",
    "interneuron = get_interneuron()    \n",
    "\n",
    "# excitatory input neuron      \n",
    "ns = get_netstim(no_reps,freq, kind)"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Connections"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 8,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "# excitatory synapse\n",
    "w_ee = params['Excitation']['w_ee']\n",
    "no_epsps = params['Excitation']['no_epsps']\n",
    "ex_ex = h.List()  \n",
    "nc_ex = h.List()\n",
    "for i in range(1,no_epsps+1):                    \n",
    "    pos = 0.3 + i * 0.075            \n",
    "    ex = neuron.h.Exp2Syn(cell.dendrite(pos))\n",
    "    w = w_ee/no_epsps #0.016\n",
    "    ex.tau1 = params['Excitation']['tau1']  # ms rise time\n",
    "    ex.tau2 = params['Excitation']['tau2']  # ms decay time\n",
    "    ex.e = 0 # mV reversal p\n",
    "    # excite postsynaptic cell\n",
    "    nc = h.NetCon(ns,ex,1,0,w)    \n",
    "    ex_ex.append(ex)\n",
    "    nc_ex.append(nc)\n",
    "\n",
    "\n",
    "# excitatory to inhibitory synapse        \n",
    "ex_inh = neuron.h.Exp2Syn(interneuron(0.5))\n",
    "ex_inh.tau1 = 0.5 # ms rise time\n",
    "ex_inh.tau2 = 2 # ms decay time\n",
    "ex_inh.e = 0 # mV reversal p\n",
    "\n",
    "# excite interneuron\n",
    "w_ei = params['Excitation']['w_ei']\n",
    "nc_ex_inh = h.NetCon(ns,ex_inh,1,0,w_ei)\n",
    "\n",
    "total_time = WARM_UP+no_reps*(1000.0/freq)+100\n",
    "\n",
    "# plastic inhibitory synapses\n",
    "exs = h.List()\n",
    "exnc = h.List()\n",
    "syns = h.List()\n",
    "\n",
    "w = []\n",
    "\n",
    "# weights of inhibitory synapses\n",
    "w_ie = params['Inhibition']['weight']\n",
    "if weight_distribution == 'Delta':\n",
    "    inh_weights = w_ie * np.ones(NO_INH)\n",
    "elif weight_distribution == 'Exponential':\n",
    "    inh_weights = w_ie * np.random.exponential(size = NO_INH)\n",
    "elif weight_distribution == 'Beta':\n",
    "    inh_weights = 2 * w_ie * np.random.beta(0.5,0.5,size = NO_INH)\n",
    "elif weight_distribution == 'Normal':\n",
    "    inh_weights = np.random.normal(w_ie,w_ie/2,size = NO_INH)\n",
    "else:\n",
    "    raise NameError('Option %s does not exist'%weight_distribution)\n",
    "\n",
    "# timing of inhibitory synapses \n",
    "timing = np.zeros((NO_INH))\n",
    "inh_delay = np.zeros((NO_INH))\n",
    "if params['Inhibition']['random'] == 'random':\n",
    "    rs = RandomState(params['Inhibition']['seed'])\n",
    "    timing = (rs.rand(NO_INH)*10)+1\n",
    "    timing[timing<0] == 0\n",
    "elif params['Inhibition']['random'] == 'limited':\n",
    "    timing = np.linspace(1,5.0,num=NO_INH)\n",
    "else:\n",
    "    timing = np.linspace(1,11,num=NO_INH)    \n",
    "\n",
    "# position of inhibitory synapses\n",
    "if params['Inhibition']['spatial_distribution'] == 'Normal':        \n",
    "    inh_poses = truncated_gauss(NO_INH, inh_pos, pos_sigma, a=0, b=1) \n",
    "elif params['Inhibition']['spatial_distribution'] == 'Uniform':\n",
    "    inh_poses = get_uniform(NO_INH, a=inh_pos-0.1, b=inh_pos+0.1) \n",
    "else:\n",
    "    raise ValueError\n",
    "inh_poses.sort()\n",
    "\n",
    "# set inhibitory synapses\n",
    "for inh in np.arange(NO_INH):\n",
    "    inh_delay[inh] = timing[inh]-(sigma*3)\n",
    "    if inh_delay[inh]<0:\n",
    "        inh_delay[inh]=0\n",
    "    if not weight_distribution == 'Delta':\n",
    "        if inh_weights[inh]<=0:\n",
    "            inh_weights[inh]=0.0000000001\n",
    "        w_ie = inh_weights[inh]\n",
    "\n",
    "    shift = params['STDP']['shift']\n",
    "    if params['STDP']['rule'] == 'mexican':\n",
    "        if shift >= 0:\n",
    "            syn = h.Mexhat_Inh_STDP(cell.dendrite(inh_poses[inh]))\n",
    "            syn.shift = shift\n",
    "            syn.alpha = params['STDP']['mex_alpha']\n",
    "        else:\n",
    "            syn = h.Mexhat_Inh_STDP_n(cell.dendrite(inh_poses[inh]))\n",
    "            syn.shift = -shift\n",
    "            syn.alpha = params['STDP']['mex_alpha']\n",
    "    elif params['STDP']['rule'] == 'anti-Hebbian':\n",
    "        if shift >= 0:\n",
    "            syn = h.Exp2Syn_Inh_STDP_nobound(cell.dendrite(inh_poses[inh])) #opt2 without bounds\n",
    "            syn.shift = shift\n",
    "            syn.learning_rate = learning_rate\n",
    "        else:\n",
    "            syn = h.Exp2Syn_Inh_STDP_n(cell.dendrite(inh_poses[inh]))    \n",
    "            syn.shift = -shift\n",
    "    elif params['STDP']['rule'] == 'cut':\n",
    "            syn = h.Exp2Syn_Inh_STDP_cut_nobound(cell.dendrite(inh_poses[inh])) #opt2 without bounds\n",
    "            syn.shift = shift\n",
    "            syn.cut = params['STDP']['pot_l']\n",
    "            syn.learning_rate = learning_rate\n",
    "    elif params['STDP']['rule'] == 'optimal':\n",
    "            syn = h.Exp2Syn_Inh_STDP_opt2(cell.dendrite(inh_poses[inh])) #opt2 without bounds\n",
    "            syn.pot_l = params['STDP']['pot_l']\n",
    "            syn.pot_r = params['STDP']['pot_r']\n",
    "            syn.learning_rate = learning_rate\n",
    "    elif params['STDP']['rule'] == 'cut_twice':\n",
    "            syn = h.Exp2Syn_Inh_STDP_cuttwice(cell.dendrite(inh_poses[inh])) #opt2 without bounds\n",
    "            syn.shift = shift\n",
    "            syn.cut = params['STDP']['pot_l']\n",
    "            syn.learning_rate = learning_rate\n",
    "\n",
    "    else:\n",
    "        raise ValueError\n",
    "\n",
    "    syn.tau1 = params['Inhibition']['tau1']\n",
    "    syn.tau2 = params['Inhibition']['tau2']\n",
    "    syn.e = params['Inhibition']['shunt_reversal']\n",
    "    syn.thresh = params['STDP']['thresh']        \n",
    "    syn.dd = params['STDP']['potentiation']\n",
    "    syn.dp = params['STDP']['depression']\n",
    "    syn.ptau = params['STDP']['tau_p']\n",
    "    syn.dtau = params['STDP']['tau_p']\n",
    "    syn.wmax = params['STDP']['wmax']\n",
    "    syn.mean = sigma*3\n",
    "    syn.std = sigma\n",
    "    syns.append(syn)   \n",
    "    # inh_delay may not be negative\n",
    "    exnc.append(h.NetCon(interneuron(0.5)._ref_v, syn,0,inh_delay[inh],w_ie, sec = interneuron))\n",
    "    tvec = h.Vector()\n",
    "    exnc[inh].record(tvec)\n",
    "    wrec = h.Vector()\n",
    "    wrec.record(exnc[inh]._ref_weight[3])\n",
    "    w.append(wrec)\n",
    "\n",
    "syn_cond = h.List()\n",
    "for i in np.arange(len(syns)):\n",
    "    syn_cond.append(neuron.h.Vector())\n",
    "    syn_cond[i].record(syns[i]._ref_g)\n"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 9,
   "metadata": {
    "collapsed": false
   },
   "outputs": [
    {
     "data": {
      "text/plain": [
       "1.0"
      ]
     },
     "execution_count": 9,
     "metadata": {},
     "output_type": "execute_result"
    }
   ],
   "source": [
    "# recording\n",
    "\n",
    "trec = h.Vector()\n",
    "trec.record(h._ref_t)\n",
    "rec_v = neuron.h.Vector()\n",
    "rec_v1 = neuron.h.Vector()\n",
    "rec_v2 = neuron.h.Vector()\n",
    "rec_v3 = neuron.h.Vector()\n",
    "rec_v4 = neuron.h.Vector()\n",
    "rec_v5 = neuron.h.Vector()\n",
    "rec_v6 = neuron.h.Vector()\n",
    "rec_v7 = neuron.h.Vector()\n",
    "rec_v8 = neuron.h.Vector()\n",
    "rec_v9 = neuron.h.Vector()\n",
    "rec_v.record(cell.soma(0.5)._ref_v)\n",
    "rec_v1.record(cell.dendrite(0.1)._ref_v)\n",
    "rec_v2.record(cell.dendrite(0.2)._ref_v)\n",
    "rec_v3.record(cell.dendrite(0.3)._ref_v)\n",
    "rec_v4.record(cell.dendrite(0.4)._ref_v)\n",
    "rec_v5.record(cell.dendrite(0.5)._ref_v)\n",
    "rec_v6.record(cell.dendrite(0.6)._ref_v)\n",
    "rec_v7.record(cell.dendrite(0.7)._ref_v)\n",
    "rec_v8.record(cell.dendrite(0.8)._ref_v)\n",
    "rec_v9.record(cell.dendrite(0.9)._ref_v)\n",
    "\n",
    "vinhrec = h.Vector()\n",
    "vinhrec.record(interneuron(0.5)._ref_v)   \n",
    "\n",
    "grec = h.Vector()\n",
    "grec.record(exnc[0]._ref_weight[1])\n",
    "\n"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Run Simulation"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 10,
   "metadata": {
    "collapsed": true
   },
   "outputs": [],
   "source": [
    "h.dt = DT\n",
    "h.celsius = 30\n",
    "h.finitialize(-70)\n",
    "neuron.run(total_time)"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 11,
   "metadata": {
    "collapsed": true
   },
   "outputs": [],
   "source": [
    "# data collection\n",
    "sampling_start = WARM_UP+50\n",
    "sampling_interval = 1000.0/freq\n",
    "t = np.array(trec)\n",
    "inh_spikes = np.array(tvec)\n",
    "v = np.array(rec_v)\n",
    "v_inh = np.array(rec_v4)\n",
    "vinh = np.array(vinhrec)\n",
    "vd = np.array(rec_v9)\n",
    "g = np.array(grec)\n",
    "w = np.array(w)\n",
    "\n",
    "my_rawdata = {}\n",
    "my_rawdata['timing'] = timing    \n",
    "my_rawdata['v'] = v    \n",
    "my_rawdata['vd'] = vd\n",
    "sampling_start = int((WARM_UP+50)/DT)\n",
    "sampling_interval = int((1000.0/freq)/DT)\n",
    "my_rawdata['w'] = w   \n",
    "my_rawdata['t'] = t \n",
    "my_rawdata['v_inh'] = v_inh \n",
    "my_rawdata['vinh'] = vinh \n",
    "my_rawdata['inh_delay'] = inh_delay \n",
    "my_rawdata['inh_spikes'] = inh_spikes \n",
    "my_rawdata['inh_poses'] = inh_poses \n",
    "my_rawdata['weight_distribution'] = inh_weights\n",
    "rawdata = {'raw_data':my_rawdata}\n"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Visualization"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 12,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "get_viridis()\n",
    "mycmap = cm.get_cmap('viridis') \n",
    "interval = 1000/freq\n",
    "AP_amp = np.zeros((NO_REPS))\n",
    "bAP_amp = np.zeros((NO_REPS))\n",
    "bAP_distal_amp = np.zeros((NO_REPS))\n",
    "\n",
    "j=0\n",
    "for i in np.arange(NO_REPS):\n",
    "    start = int(i*interval/DT+interval/DT-100)\n",
    "    end = int(i*interval/DT+interval/DT+100)      \n",
    "    bAP = vd[start:end]\n",
    "    AP_amp[j] = np.max(v[start:end])\n",
    "    AP_time = np.argmax(v[start:end])\n",
    "    bAP_amp[j] = np.max(v_inh[start:end])\n",
    "    bAP_distal_amp[j] = np.max(vd[start:end])\n",
    "    j+=1"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Figure 2B top"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 13,
   "metadata": {
    "collapsed": false
   },
   "outputs": [
    {
     "data": {
      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZQAAAD5CAYAAAAA2MOQAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAkIDilJKqYD4/3b6/eaUADe+AAAAAElFTkSuQmCC\n",
      "text/plain": [
       "<matplotlib.figure.Figure at 0x10cbabc90>"
      ]
     },
     "metadata": {},
     "output_type": "display_data"
    }
   ],
   "source": [
    "ax = plt.figure()\n",
    "j=1\n",
    "for i in [0,NO_REPS-1]:\n",
    "    axis = plt.subplot(1,2,j)\n",
    "    j+=1\n",
    "    plt.plot(t,v,'k',lw=3 ,label = 'AP')\n",
    "    plt.plot(t,vd,color= mycmap(0.4),lw=3, linestyle='dashed', label = 'bAP')\n",
    "\n",
    "    xmin = (i * interval)+ interval-10\n",
    "    xmax = (i * interval)+ interval+10#100\n",
    "    plt.xlim((xmin,xmax))\n",
    "    plt.ylim((-80,60))\n",
    "\n",
    "    axis.spines['top'].set_visible(False)\n",
    "    axis.spines['right'].set_visible(False)\n",
    "    plt.xlabel(\"p %d\"%(i+1))\n",
    "    if i==0:        \n",
    "        axis.spines['bottom'].set_visible(False)            \n",
    "        axis.spines['left'].set_position(('outward', 10))\n",
    "        axis.yaxis.set_ticks_position('left')\n",
    "        plt.xticks([])            \n",
    "        plt.ylabel(\"membrane potential [mV]\")\n",
    "    else:\n",
    "        axis.spines['bottom'].set_visible(False)\n",
    "        axis.spines['left'].set_visible(False)\n",
    "        plt.xticks([])\n",
    "        plt.yticks([])\n",
    "        axis.legend(prop={'size':15}, frameon = False)\n",
    "plt.show()\n"
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Figure 2B and D"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 14,
   "metadata": {
    "collapsed": false
   },
   "outputs": [],
   "source": [
    "AP_time = (np.argmax(v[20*interval*int(1/DT)+100:21*interval*int(1/DT)+100])+100)*DT\n",
    "bAP_thresh_crossing1= (v_inh[20*interval*int(1/DT)+100:21*interval*int(1/DT)+100]>-40)\n",
    "bAP_thresh_crossing1= (v_inh[0*interval*int(1/DT)+100:1*interval*int(1/DT)+100]>-40)\n",
    "bAP_thresh_crossing2 = np.nonzero(bAP_thresh_crossing1)\n",
    "try:    \n",
    "    bAP_thresh_crossing = (bAP_thresh_crossing2[0][0]+100)*DT\n",
    "    diff = bAP_thresh_crossing - AP_time\n",
    "except IndexError:\n",
    "    pass\n",
    "inh_times = inh_spikes[0]+timing   \n",
    "\n",
    "try:\n",
    "    ref_time = bAP_thresh_crossing\n",
    "except IndexError:    \n",
    "    ref_time = AP_time    \n",
    "timing_relative = ref_time-inh_times\n",
    "timing_range = np.max(timing_relative)-np.min(timing_relative)\n",
    "\n"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 15,
   "metadata": {
    "collapsed": false
   },
   "outputs": [
    {
     "data": {
      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAokAAAOECAYAAADNPqtLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAAMTQAADE0B0s6tTgAAIABJREFUeJzs3Xl4VOXZx/HvnUky2YCwI2vYEUFbNpWKAtUqYqkLIq6A\nRauI6+vSqhXQulZt1YpLFVQIRQXUVlHUIm5VKsUNN3ZklZ0A2Wee94+ZDJlkQkIyySTh97muuZJz\nznPOc58g5uZZzTmHiIiIiEhxcbEOQERERERqHyWJIiIiIlKKkkQRERERKUVJooiIiIiUoiRRRERE\nREpRkigiIiIipShJFBEREZFSlCSKSI0zs0Vm9qcY1NvYzOab2W4z21DBe940sz8WO3ZmdnL1RVn9\nzOxWM3u7nDLtzWyfmXWqqbhEpHZRkigitZaZxZnZajPLNrPGJa5lBBO2/cFkZlswATzqII+8AmgF\ntHTOta1IDM65Yc65u6rwGhVmZmvNbHx11+Ocu8c596tyyvzonEtzzq2urjjMLM3M3jOzn8wsy8zW\nm9lfzCypRLmrgj+bbDNbamYnVldMInKAkkQRqc2GAe2BPGBcGWWOcc6lAd2AHOD1gzyvM/Ctcy4v\nqlHWM2bmraGq8oBrgHbOuYZAf6APcHexWM4F7gHGAOnAs8B8M2tXQzGKHLaUJIpIrKSb2Twz22tm\nK83skghlJgBvAdOAK8zMynqYc24XMB3IMLOmJa+b2UICicZ5wZbHJ4Pnnw62Uu0zszVmNsXM4ord\nV2bXeLBLdr6Z7TSzPWa2zMwGlVE2ycxeNrONwXf+wcyuKnb9TQIJ8d+CsXxTxnMGB1tQLwy2su42\ns1fMrEWxMlcFY8kysy1mNsPMmhW7PtnMPirxjn8zs9lmtgt4pFhLbZdgmbFmtsHMfhf8ee0Jvk/D\nYs/pGmwZzDKz78zssuAzMiK9i3OuwDn3tXMuv9hpP9C92PEEYJpz7n3nXL5z7nFgBTA20jNFJHqU\nJIpIrPyWQFLXmEBr0jNm9ouii2bWETgN+Hvw0xU4payHBRPDS4HVzrkdJa8754YCmcCLwW7UK4KX\n/gscCzQAzgcmApdV8B3uBTYCrYPvcQ5Q1lhHA+YDRwGNgBuAh83s1GB8w4AfgYnB+A7WbU4w1n5A\nRyARmFns2hbgbAItb8cSaGV9rJznjQNeAJoGY4ukFdADODL49WfA/wGYWTyBVtwVwXInE/jzKJeZ\nZZrZfmAzcAzwQLHLPyPwZ1TcZ8DPK/JsEak8JYkiEivznXP/cs4VOufmA68QnlT8jkCy87pz7nvg\nQ+DKCM9ZGmz9+pLA/9POOJQgnHPPOOd+cgGfEki2Djper5h8AglR58Cj3A/OuTVl1JPjnJvunNvt\nnPM7594g0Epa0bpK+oNzbmewBfVG4JSiLljn3Fzn3PJgPeuA+ypQzz+dc/OD92SXUaYQuDn4LpuB\nV4EBwWvHAV2A/3POZTvnNlKs2/hgnHMXAmkEEsKnCCTLRRoCu0vcsit4XkSqkZJEEYmVksnUGqAd\nhMbEXQpMd875gtf/DvzazEpOOOnjnGvsnGvrnDvTOfddRQOwgNvM7Bsz22Vmuwkkpy3KuzfoRmAl\nMA/4ycymm1nLMurymtmDZrY82FW7m8CYy4rWVdKaCN8X/fzONrP/mNlWM8sCZgBNzMxTweeVZbtz\nrqDY8X4CLbAAbYCdzrm9xa6vrcAzgUCG7Zz7EvgcmFvsUhaBFtHiGgfPi0g1UpIoIrGSEeG4qKv2\nXKA5cFVwTN0W4GHAQyCJi5bRwHXAJUAz51w6gZasMsc+Fuec2+Gcu945151A92dGMM5IbgB+Hfw0\nDtb1Zom6/IcQe0aE7zcEk+iXCXQvtw9OCLk4eP1g73UodUeykUAi2qDYuQ6VeE4C4WMSvyAwoaW4\n/gSSSRGpRkoSRSRWTjez4WbmMbPTgLMIjFGEwGSF+QTGvv0s+OlNIAEbb2YJUYqhEYEu1K2AM7Mh\nwEUVvdnMRptZ5+BEl70EZusWHqSuPGAbEBectVuyC3gL4QnSwdxjZk3MLB34M7DQOfcjgW7bOAKt\nfrlm1hX4Q0XfqQo+BVYBD5hZipm1Bm492A1mNsDMTgmWjzOzvsAkAn/2RaYCl5rZIDNLDE726Qo8\nVz2vISJFlCSKSKxMIzB5ZTfwOHCFc+5DMzsGOB64xzm3pfiHQDLUmMCkjGh4Dvg38DWwncA6ijMP\ndkMJxwALCSSIq4LvcmMZZR8E1gPrgE3ALwmM6SvuTuA3wRnLX5VT94vAkuDz/MCFAMHxm38AXjCz\nvcDzh/hOleKcKyTQSnok8BOBn+us4OXcMm5LJLC8zWZgD4F3eo3AfxdFz30ZuJ3AO+wJXhvunFsf\n/bcQkeLMORfrGEREpILMbDDwHpAQTMxqLTM7E5gNJDv9shGpc9SSKCIiUWFmx5tZt+CEoO4EWkZn\nKUEUqZuUJIqISLQcAbxNYNbzvwmMU7w+phGJSKXVq+5mMxtHYJzTWc65V4M7ELxAYA2zPGCCc+6D\nWMYoIiIiUhfUm5bE4LZPlxH4l2uR+4BPnXNdCewmMCuKsyJFRERE6q16kSQGl594BriaQIthkVHA\nkwDOuc8IzCg8qcYDFBEREalj4mMdQJTcAHzsnPufWWCt2OA+rgnBZTOKrAXal7zZzG4gfK/SBOdc\nxF0TIqg//fUiIiJS31VoswCoB0mimfUCzgFOrOwznHMPU2yXBDPbcJDiIiIiIvVefehuHkRgS6oV\nZraWwCbzTxPoai40s1bFymYQvnG8iIiIiERQr2Y3A5jZIuCvwdnNzwFrnXOTzaw/gd0NMkpsUB/p\nGRucc20rWGW1/QDz8vI49dRTKSgoKPUpLCwkISGBlJSUUp/k5OQKHSclJZGcnExSUlLoEx8fH/Hj\n8Xgo6soXERGROuvw6W4uxy3ADDNbAeQDF5WXINYmZsb7778f6zBCPB5PxOSx5PcV+RoXF4fH4wl9\nSh6X/ES6Xt49kcqVV2+ksiW/HkrZit4bF1cfGvVFRKQ+qXctidFQW1oSnXNKHg4jB0ssixLJSN+X\n/Fr8Y2ZlHhd9H+lceWXUqiwiUj1OPvlkxo8fX51VqCWxPjAzJkyYgMfjISEhIewTHx9PQUEBOTk5\nZGdnhz4lj/fv3x86V/RV/zConfx+P36/P9ZhiIhIDKWnp1d3klhhShJruccffzyqz3POkZeXR3Z2\nNnl5eeTk5JCbm0tOTg55eXn4fD4KCwtDn4KCgnLP+Xy+0Lmi82V9Lfq+5Mfv90f8Ppply7pXiZmI\niEhpShIPM2YWmqQiAc65UCtepASyvOS0vLLFz5Use7B7S56r6L1F71P8vUp+7/P5cM6FlS15ruS9\nxc+LiEj1+PnPfx7rEEKUJMphz8xCY/8SErRro4iICNSPdRJFREREJMqUJIqIiIhIKUoSRURERKQU\nJYkiIiIiUoqSRBEREREpRUmiiIiIiJSiJFFERESkhLFjx5KRkRHrMGJKSaKIiIgcljZt2sTkyZP5\n4osvaqzOUaNGYWbccsstEa8vWrQIMwt9EhIS6NSpE5dccgmrV6+usTgBTPv4lmZmG5xzbStYXD9A\nERGROmjJkiX079+f6dOnM3bs2LBrBQUF+P1+vF5v1OrLysqiZcuWtGrVCp/Px7p16zCzsDKLFi1i\nyJAhXHPNNfTv35+CggKWLl3K008/TVpaGl9//TWtW7euShhWfpEAtSSKiIiIlJCQkBDVBBFg7ty5\n+Hw+pk2bxvr16/nggw/KLDto0CAuuugixo0bx2OPPcaDDz7Izp07ef7556Ma08EoSRQREZEq27t3\nL9dddx0ZGRl4vV5atGjBKaecwtKlS8PKvfzyy/Tt25fk5GSaNWvGRRddxMaNG8PKjB07lrS0NH78\n8UfOOOMM0tLSaNOmDY8//jgAX3/9NUOHDiU1NZUOHTowa9assPt37tzJjTfeSO/evUlLS6Nhw4YM\nGzaML7/8MlRm0aJF9O/fH4Bx48aFunefe+65UAwlxyT6/X4eeeQRevfuTVJSEs2bN+e0005jyZIl\nFfoZZWZmcsoppzBkyBCOPPJIMjMzK3QfwNChQwFYs2ZNhe+pKu3dLCIiEkO5ubmsWrUq1mGU0rlz\nZ5KSkipc/oorrmDOnDlMnDiRnj17smPHDj766CO+++47+vTpA8Bzzz3HuHHj6N+/P/feey8//fQT\njzzyCB9//DGff/456enpoef5fD6GDRvGiSeeyAMPPEBmZiYTJ04kNTWV2267jQsvvJCzzz6bJ598\nkksuuYTjjz+ejh07ArB69WpeffVVzj33XDp27MhPP/3EU089xUknncS3335L69atOfLII7nzzju5\n4447uPzyyxk0aBAAAwcOLPMdf/vb3/Lcc88xbNgwxo8fT2FhIR9++CGffvop/fr1O+jPZ9OmTbz3\n3nuhlsDzzz+fv/zlL/ztb38jMTGx3J9v0X8jTZs2Lbds1Djn9CnxATYcQnkREZFKW7ZsmSMwvr1W\nfZYtW3ZI79GoUSN31VVXlXk9Pz/ftWjRwvXq1cvl5OSEzr/++usOcHfccUfo3JgxYxzg7rnnntC5\nXbt2ueTkZGdmbvbs2aHz33//vQPcpEmTQudyc3Odz+cLq3/NmjXO6/W6O++8M3Tus88+c4CbPn16\nqXjHjBnjOnToEDpeuHChA9w111xTqqzf7y/zvYs8+OCDLjk52WVlZTnnnFu+fLkD3CuvvBJW7r33\n3nOAmzZtmtu2bZvbtGmTe+ONN1xGRoYzM/fZZ5+VW1c5KpwPqbtZREREqiw9PZ3FixezadOmiNeX\nLFnC1q1bmTBhQlgL5fDhw+nRowdvvPFGqXvGjx8f9vzu3buTmprKqFGjQue7d+9Oenp62Mxfr9dL\nXFwgxfH5fOzYsYO0tDS6d+9eqvu7oubOnYuZMWnSpFLXSk4+iSQzM5Phw4fToEEDALp27Urfvn3L\n7HK+9NJLad68Oa1bt2b48OHs37+f559/vtwWy2hSd7OIiIhU2QMPPMCYMWNo164dffv25fTTT+eS\nSy6hU6dOAKxbtw4IJHUl9ejRg48++ijsXNGYv+IaNWpE27ZtSyVljRo1YteuXaHjorGDU6dOZc2a\nNfh8vtC1ynbXrlq1itatW9OkSZNDvve7777j888/55JLLmHlypWh84MHD+bxxx8nKyuLhg0bht1z\nxx13MGjQIDweD82aNePII48kPr5m0zYliSIiIjHUuXNnli1bFuswSuncufMhlR81ahSDBg3ilVde\n4e233+bPf/4z999/P/PmzWPYsGGHXL/H4zmk867Ykn733HMPf/zjH7n00ku56667aNKkCXFxcVx3\n3XX4/f5DjqWqZs6cCcD111/P9ddfX+r63LlzGTduXNi53r17c/LJJ9dIfGVRkigiIhJDSUlJHHXU\nUbEOIyqOOOIIJkyYwIQJE9i6dSt9+vTh7rvvZtiwYXTo0AGAH374ITRTt8gPP/wQuh4Nc+bMYciQ\nITz77LNh53fv3k2zZs1CxxXpJi7SuXNnFixYwM6dOw+pNdE5x6xZsxgyZAgTJkwodf2uu+4iMzOz\nVJJYG2hMooiIiFSJz+djz549YedatGhB69atycvLA6Bfv360aNGCJ598MnQO4M033+S7775j+PDh\nUYvH4/GEtSxCYOmdkkvtpKamAoHksTznnHMOzjmmTJlS6lrJuor7+OOPWbt2LePGjWPkyJGlPued\ndx7vvfdemWM5Y0ktiSIiIlIle/fupW3btowcOZJjjjmGtLQ03n33XT777DMeeughILA49f3338+4\nceM46aSTOP/880NL4GRkZETshq2sM844gzvvvJNx48YxcOBAvv76azIzM0PjI4t07tyZ9PR0nnzy\nSRo0aEBqairHHntsaCmd4oYMGcLFF1/Mo48+yooVKzjttNPw+/18+OGHDBkyhIkTJ0aMJTMzE4/H\nU2YSPGLECG677TZmz57NDTfcUPWXjyIliSIiIlIlKSkpTJgwgbfffpt58+bh9/vp0qULU6dO5cor\nrwyVGzt2LCkpKdx3333ccsstpKamctZZZ3H//feHrZFYVbfeeiv79+9n1qxZvPjii/Tp04c33niD\n3//+92HlEhISeP755/nDH/7AFVdcQWFhIdOnT4+YJAJMnz6do48+mmeffZabbrqJRo0a0a9fvzLX\nViwoKODll19m4MCBZXZR9+rVi44dOzJz5sxalyRq7+YItHeziIiI1FPau1lEREREKk9JooiIiIiU\noiRRREREREpRkigiIiIipShJFBEREZFSlCSKiIiISClKEkVERESkFCWJIiIiIlKKkkQRERERKUVJ\nooiIiIiUoiRRREREREpRkigiIiJVNnnyZMyM7du3xzqUardo0SLMjEWLFlX63jlz5kQ/sChTkigi\nIiIx4fP5aN26NWbGm2++GbFMUfJZ9ElJSaFnz57cfvvtZGVlRSWO7OxsJk+eXKmkrz6Lj3UAIiIi\ncnhauHAhmzdvJiMjg8zMTIYNG1Zm2SeeeIK0tDT27dvH22+/zd13383ChQv5+OOPMbMqxZGdnc2U\nKVMAGDx4cLnlTzzxRHJyckhMTKxSvbWdkkQRERGJiZkzZ9KnTx/GjBnDrbfeyv79+0lNTY1YduTI\nkTRr1gyAK664gnPOOYd58+bx6aefcvzxx9dk2MTFxZGUlFSjdcaCuptFREQkarZv386oUaNo2LAh\nTZs25dprryU3N7dUuZycHF555RVGjx7NqFGjyMnJ4bXXXqtwPUOHDgVgzZo1ZZbJz8/njjvuoG/f\nvjRq1IjU1FQGDRrEe++9Fyqzdu1amjdvDsCUKVNC3dqTJ08u87mRxiQOHjyYXr168e233zJkyBBS\nUlJo06YNDzzwQMRn+P1+7r77btq2bUtSUhK//OUvWblyZYXfvyYoSRQREZGoGTVqFLm5udx7772c\nfvrpPProo1x++eWlyv3zn/9k3759jB49mlatWjF48GAyMzMrXM+qVasAaNq0aZllsrKyeOaZZxg8\neDD3338/kydPZtu2bZx66ql88cUXADRv3pwnnngCgLPOOosZM2YwY8YMzj777EN5bQB27drFaaed\nxjHHHMNDDz1Ejx49uOWWWyKOt7zvvvt45ZVXuPHGG/nDH/7Ap59+yoUXXnjIdVYndTeLiIjUAvsK\nd7O/8MBEjCaJrUiIC4x5K/DnszN/S+haanxD0uLTQ8c78jZT6AoAiLcEmnqPiMpzK6Njx46hFsGr\nrrqKhg0bMnXqVG688UaOPvroULmZM2cycOBA2rVrB8Do0aOZMGEC27ZtC7XsFbdz587A+wTHJE6d\nOpWWLVsyaNCgMmNp3Lgxa9euDRs7eNlll9GjRw8ee+wxnn32WVJTUxk5ciRXXnklRx99NBdddFGl\n333Tpk288MILXHzxxQD89re/pUOHDjz77LOlxlvm5ubyxRdfhGJr3Lgx1157LcuWLaNXr16VjiGa\nlCSKiIjUAou3v8XCrS+Fjq/p9ldaJrUHYGf+Fh5dfl3o2tAWo/hlq9Gh45lr72Nr3noAWnjbcW33\nR6Ly3Mq46qqrwo6vvvpqpk6dyvz580NJ4o4dO1iwYAF/+ctfQuXOOeccrrrqKl566aVSzwDo3r17\n2PFRRx3F888/T0pKSpmxeDwePB4PEOje3b17N36/n379+rF06dJKv2NZ0tLSwpLMxMREBgwYwOrV\nq0uVHTduXFjyWpTsrl69WkmiiIiI1D9du3YNO+7cuTNxcXGsXbs2dO7FF1+koKCAn//852Hj8I49\n9lgyMzMjJolz586lYcOGJCQk0LZtWzp37lyheJ5//nkeeughvv/+ewoKCkLnO3bseIhvVr62bduW\nmmnduHFjvvrqq1Jl27dvX6ocBLqsawsliSIiIlJtIi1PUzT28Be/+EXEe1avXk2nTp3Czp144omh\n2c0VNXPmTMaOHcuZZ57JTTfdRIsWLfB4PNx7772hMY3RVNRqWZJzrkplY0VJooiISC1wbLPT6JU+\nMHTcJLFV2PfXdPtr6Dg1vmHYvRdl/D5sTGK0nlsZK1asCGulW7lyJX6/n4yMDCAwG/k///kPEydO\n5KSTTgq71+/3c/HFFzNr1ixuv/32KscyZ84cOnXqxLx588KS1UmTJoWVq+o6i/WVkkQREZFaIC0+\nvcxJIwlxiaFxhJEUn6gSzedWxuOPP86vfvWr0PFjjz0GEJq4UdSKePPNN4cmrRT3zDPPkJmZGZUk\nsai1zjkXSgQXL17MJ598EtbdWzSucffu3VWusz5RkigiIiJRs2bNGkaMGMFpp53GJ598wsyZM7ng\nggs45phjgECS+LOf/SxigggwYsQIrr76apYuXUqfPn2qFMsZZ5zBvHnzOOussxg+fDhr1qzhySef\npGfPnuzbty9ULjk5mZ49e/Liiy/SrVs3mjRpQq9evWrNBJJY0TqJIiIiEjUvvvgiXq+X3//+97zx\nxhtMnDiRZ599FoClS5fy/fff8+tf/7rM+4uuzZw5s8qxjB07lnvuuYcvv/ySa665hgULFjBz5kz6\n9etXquwzzzxDmzZtuP766zn//POZM2dOleuv66w2DZCsLcxsg3OubQWL6wcoIiIidUWFB2CqJVFE\nRERESqnzSaKZJZnZq2a23My+NLN3zKxL8FoLM3vLzFaY2TIzOzHW8YqIiIjUBXU+SQx6GujunDsG\neA14Jnj+PuBT51xXYBwwy6zE2gAiIiIiUkqdTxKdc7nOufnuwODKT4GM4PejgCeD5T4DNgEnlXqI\niIiIiISp80liBNcCr5lZUyDBObel2LW1QHQXhBIRERGph+rVOolmdivQBfglkHwI990A3FDsVFqU\nQxMRERGpU+pNS6KZ3QicDQxzzmU753YAhWbWqlixDODHkvc65x52zrUt+gD7SpYREREROZxUKkk0\nM18UPndE6yWCLYHnA6c454rvqfMycEWwTH+gDfB+tOoVERERqa8q291swDoCY/wqc2/UlqIxs7bA\nQ8Bq4L3g3ox5zrljgVuAGWa2AsgHLnIuuAO6iIiIiJSpKmMSpzvn7qzMjWbmr0K9YZxzGyhj9XDn\n3E/AryJdExEREZGyVXZMog+oSqJX1ftFREREpBpVKkl0ziU45/5U2Uqrer+IiIjUDZMnTyY4FCwk\nIyODsWPH1ngsFal37dq1mBkPPvhgzQRVi9Wb2c0iIiJSf82fP5/JkyfHOowy3XzzzZgZ5513XsTr\nRcln0cfj8dC+fXvOOussvvjiixqOtmIqnSSa2QIzG2VmidEMSEREROq3H374gb///e+HdM/8+fOZ\nMmVKNUVUNc45/vGPf5CRkcG//vUv9u7dW2bZ888/nxkzZjBt2jQuuOACFi5cyHHHHVcrE8WqtCSe\nAvwD2GxmfzOzflGKSUREROoxr9dLQkJCrMOImkWLFrFhwwamTZtGYWEh8+bNK7Nsnz59uOiiixgz\nZgz33XcfM2fOJC8vjyeeeKIGI66YqiSJxwPPBJ8xAVhsZl+Z2fVm1jwq0YmIiEid8dFHH9G/f3+S\nkpLo3LkzTz31VMRyJccGFhQUMGXKFLp27UpSUhJNmzblhBNO4J133gFg7NixPP744wBhXbZFHnzw\nQQYOHEjTpk1JTk6mb9++zJkzp8rv85e//IUOHTqQnJzMSSedxLJlyyKWy8zMpGfPngwZMoSTTz6Z\nzMzMCtcxdOhQANasWVPleKOt0kvgOOcWE0gMryWw08lYYCjwIHCfmb0BTAfmO+d8UYhVREREaqmv\nv/6aX/3qVzRv3pzJkydTWFjIpEmTaNmyZbn3Tp48mXvvvZfx48czYMAAsrKyWLJkCUuXLuWUU07h\nd7/7HZs2beKdd95hxowZpe5/5JFHGDFiBBdeeCH5+fnMnj2bc889l9dff53hw4dX6n1eeOEF9u7d\ny1VXXUVubi6PPPIIQ4cO5euvvw57p7y8PObOncv//d//AYHu5HHjxrFlyxZatWpV1uNDVq1aBUDT\npk0rFWd1qvLezc65XGAWMCu4sPWY4OdM4DfANjObATznnPumqvWJiIjURzv2ZbNrf/ZByyR44unQ\nLD10nFdQyPqduw9yR0C7Jul4Ew78yl+3fTcFvsKIZRunptA0LaWCUR9wxx134Jzjww8/pH379gCc\nc8459O7du9x733jjDU4//XSefvrpiNePP/54unXrxjvvvMNFF11U6vry5ctJTk4OHU+cOJE+ffrw\n8MMPVzpJXLlyJStWrKBNmzYAnHbaaRx77LHcf//9PPzww6Fyr7/+Ort372b06NEAnHnmmVx++eXM\nnj2b6667rtRzs7Oz2b59Oz6fj++//57rr78egHPPPbdScVanKieJxQUXtr4buNvMTiDQungucANw\ng5ktCe6EIiIiIsXM/vRLpv7704OW6dyiCf+8fkzoeP3O3fzmr6Vb1kp67bqL6dKyWej46hmvsWrr\nzohlJ/zyOK46+fgKRh3g8/lYsGABZ555ZihBBDjyyCM59dRTmT9//kHvT09P55tvvmHFihV07dr1\nkOoGwhLEXbt24fP5GDRoEP/4xz8O+VlFzjzzzFCCCDBgwACOPfZY5s+fH5YkZmZm0q9fP7p06QJA\ngwYNGD58OJmZmRGTxEmTJjFp0qTQccOGDbn//vs5++yzKx1rdam2JXCccx8558YDRwGfENgVRZNb\nRERE6plt27aRk5MTMcHr3r17ufffeeed7N69m27dutG7d29uuukmvvrqqwrX//rrr3PccceRlJRE\nkyZNaN68OU888QR79uw5pPcoLtK7dOvWjbVr14aOd+/ezfz58znppJNYuXJl6POLX/yCJUuWsHz5\n8lLPuPzyy3nnnXf497//zf/+9z+2bt3KzTffXOk4q1O1JIlmFmdmw83sZWAFMJDADivvVEd9IiIi\nUnedeOKJrFq1imnTptGrVy+eeeYZ+vTpwzPPPFPuvR9++CEjRowgKSmJqVOnMn/+fN555x0uuOAC\nnHPVGvfLL79MXl4eDz30EF27dg19brjhBoCIE1i6du3KySefzNChQ+nTpw9er7daY6yKqHY3m9lR\nwDjgQqBjte4/AAAgAElEQVQFgdbDlcBzwAvB7mgREREpYfRxx3Bq74N3tSZ4wn9tt2uSzmvXXVzu\ns9s1SQ87fuzi3xx0TOKhat68OcnJyaxYsaLUtR9++KFCz2jSpAnjxo1j3Lhx7Nu3jxNPPJHJkycz\nfvx4gFK7thSZO3cuSUlJLFiwICzhmj59+iG/R3GR3mX58uVkZGSEjjMzM+nVq1dY93GRp556ilmz\nZtXatR0rospJopk1AS4gMP7w5wQSw30EEsPpzrmPqlqHiIhIfdc07dAnjHgT4sPGGlZU8ckv0eDx\neDj11FN59dVX+fHHH0PjEr/77jsWLFhQ7v07duwIm92blpZGly5dWL9+fehcamoqEOjiTU8/EL/H\n48HM8PkOLKSydu1aXn311Sq906uvvsrGjRtD4xL/+9//snjx4tA4w/Xr1/PBBx8wZcoURo4cWer+\n/Px8LrzwQhYvXsyxx9bN6RiVThLN7NcEEsPhQAKB5PBDAsvevOScO/gULREREak3pkyZwltvvcWg\nQYOYMGEChYWFPPbYYxx11FHlji/s2bMngwcPpm/fvjRp0oQlS5YwZ84cJk6cGCrTt29fAK655hpO\nPfVUPB4Po0ePZvjw4Tz88MOcdtppXHDBBWzdupXHH3+cLl26HNK4xpK6dOnCCSecwJVXXkleXh5/\n/etfadq0aWj84KxZs3DOMWLEiIj3n3766cTHx5OZmVlnk0Scc5X6EBhj6Ad+BO4COlf2WbXtA2w4\nhPIiIiLinHv//fdd3759XWJiouvUqZN78skn3aRJk1wg3TigQ4cObsyYMaHjP/3pT27AgAEuPT3d\nJScnux49eri7777b5efnh8oUFha6q6++2jVv3tyZWdgzn332Wde1a1fn9Xpdjx493PTp0ytUbyRr\n1qxxgPvzn//sHnroIdeuXTvn9XrdoEGD3Jdffhkq17t3b9e+ffuDPmvw4MGuRYsWrqCgIOy5MVbh\nfMhcJQd1mtksAq2G77rKPqSWMrMNzrm2FSxer95dRERE6rXIgzsjFaxn+V1UKEkUERGReqrCSWK0\nZze3AI4EWhMYp1iKc+6FaNYpIiIiItEXlZZEM0sF/kZglnNZiacRGBvgqXKF1UwtiSIiIlJP1XhL\n4uPAJcAXwFxgCxB5ASYRERERqfWi1ZK4G/geGOic81f5gTGmlkQRERGppyrckhitbfkc8GF9SBBF\nREREJHpJ4sdAzyg9S0RERERiLFpJ4i3AQDP7XZSeJyIiIiIxFLV1Es3sKALb8m0DvgayIhRzzrnf\nRqXCaqQxiSIiIlJP1exi2mbWBXgbyCinqJbAEREREYmdGl8C528EEsS/AS8CmwFflJ4tIiIiIjUs\nWi2Je4G3nXPnVD2k2FNLooiIiNRTNb4Ezn5gbZSeJRF8vO1f/JT7Y6zDEBERkcNEtLqbXwMGm5m5\naM2EkZBv9/yX+Zunk7DFy5ltr+BnjU+KdUgiIiJSz0WrJfFmAmMQZ5hZ6yg9U4Bd+VuZu/5RAApc\nHi+vf4Rlu/8T46hERESkvotWS+LnQCLQFzjfzHZR9hI4naNU52GhYUIT+jU5hY+2vwZA+5TuHNlo\nQIyjEhERkfouWhNX1lLBCRzOuY5VrrCa1caJK9/s+ZQFm2fw28530iihaU1UKSIiIvVPza6TWN/U\nxiQRwOd8eOzAMpP7C/fw9pZMhh0xliRPSk2FISIiInVXja+TKDWgeIK4PnsF/1j3AHsKdpBTuI/z\nO9yEWYX/3EVEREQOKloTV6SGvbtlFnsKdgDwTdanfLTttRhHJCIiIvVJpZJEM7vDzE6sbKVVvV9g\nZLtraBDfGIAE89JQ4xRFREQkiirbkjgZGFyFeqt6/2GvQUJjzu9wIy287biiy30c03hQrEMSERGR\neqQqYxIz1BoYWx1Sj+Tqbg8TV2ysIoDf+YkzjSQQERGRyqtKkjgm+KkMTamOkuIJos8V8uam5/Hj\nZ0Sby2IYlYiIiNR1lU0Sp0Sh7vej8AwJyirYyex1D7Iu+3sAOqT0UBe0iIiIVFqlkkTnXDSSRImi\nfH8uW3LXhY5f3fgEbVO60NR7RAyjEhERkbpKA9fqiWbe1pzdbmLo+Limw0hPbBHDiERERKQu044r\nEdTWHVcq4t0ts2mT3En7O4uIiEgk2pavKupykhhJrm8/SZ7UWIchIiIisVfhJFHdzfXckp3/5s/f\n/Y4N2StiHYqIiIjUIUoS6ynnHK9smMorGx4n15/NrHV/Zl/h7liHJSIiInWEksR6ysxolNAsdLyn\nYDsLNs+IYUQiIiJSlyhJrMcGtxhJ9wb9AOjeoC+nt740xhGJiIhIXaGJKxHUp4krub79/G/nQo5v\nNlxb9YmIiEj1zm42Mz+VS46cc64qWwHWiPqUJEaSVbCTHN8+Wia1j3UoIiIiUrMqnCRWNmH7gDqY\nHAms2/89/1j3Z+ItgQld/0xKfINYhyQiIiK1kLqbI6ivLYnrs5fz91W343OFAHRJO4YxHW8nzjwx\njkxERERqiNZJLGJmXc3sP2a23Mw+M7OjYh1TrLRJ7kyn1F6h4x+zf2Br7oYYRiQiIiK1Vb1PEoGn\ngKedc92A+4HnYhtO7MSZh1Htr6dxYkuaedtwZZcHaJXcIdZhiYiISC0Ute5mM/MA5wEnA0cA3gjF\nnHPul1GpsGIxtQBWAk2cc4VmZsBm4ATn3MqD3Fcvu5uLbM/bRFp8OkmelFiHIiIiIjWr2ieuhNdm\nlga8C/QPVu5KBOGKna9J7YDNzgUG4TnnnJn9CLQnkDwelpp5W4cdF/oLeH/rXH7RfIQSRxEREQGi\n1908CRgA/BFoRiAhnAy0AkYCy4E5QFKU6osqM7vBzDYUfYC0WMdUU/bkb+eZ1bezcOtLzF3/GH7n\nj3VIIiIiUgtEK0k8E/iPc+4e59zOopPOua3OuXnAUGAw8Pso1VdR64EjzCweINjd3B74sXgh59zD\nzrm2RR9gXw3HGTNvbJrG+uwVAHybtZgPts2LcUQiIiJSG0QrSWwHLC527KdYq6FzbjPwBnBJlOqr\nEOfcVmApcFHw1DnAhoONRzzc/LrNZTSIbxw61opIIiIiAtFLErMIH4O4C2hTosyOCOdqwu+A35nZ\ncgItmeNiEEOt1SChMRd0uJkUT0MuyvgDQ1qOjHVIIiIiUgtEZXazmX0KbHLOnR08fhc4CujpnNtl\nZknA54AnuBRNrVbfZzdHkufLwetJDjvnnCPQQy91UV5BIQkeD3Fx+jMUEZGQmp3dDCwArjOzZOdc\nDoG1CV8EvjKzT4A+QEcCE1ykFiqeIDrn+HTHm6zZv4zR7W8kzg6H5TTrln25efxv7Ua27d3Ptqz9\nbNu7n+1797NjXzY79mWzc38O+/PyeeumcbRrkh66749z32bZhp/o2LwxVw49jq6tmsXwLUREpDaL\nVpL4JPAtkALkOOdeNrMM4DYCs5tzgb8C90WpPqkmhf4C/rnxaf63698ALPzpJU5uNTrGUdU9zjny\nCn3k5BeQk19AbkEhPr+fQr+fRslJtG7cMFR25U/bWbJmI3tz89ibm0d2XgHZwfuy8wvYn5dPwyQv\nU8eeGbpny559THj+tXLj2LkvJyxJXL1tJ8u3bGf5lu1s35vNC78bFd0XFxGReiMqSWJwYsqLJc79\n2cweJrAkzlanTaIrbeOuPXy1fgt5BYXkF/rIKywkr9BH8R+pmTH+pP6hY7/fMf3DJQDEmREXF4fH\nDE9cHJ64wNd4Txz9OrahTeNGofu+3rSeD1esINvfCDPH3O1vsm9nS9qldMM5h985mqSm0KVl09A9\nW7P2sXzLdjxxRnycJ/DV4yE+znCAz+fHAce0PyIsvk9W/ojDBSfLBJ7tHPiD7+Wc4+h2rWje8MCK\nRP9bs4GfsvYTZ4F39sQFWjnzC33kFxaS7/PRoWljju3cLnTPd5u28u9vVuJ34HChAQIH6oa4OOPa\nX/0idE9uQSEPvPF+8Lk+8n2FFPr8+P0On3P4/H58fseNpw/iqDYtQ/ddO/Nf/GfFOnILCkPvUdKZ\nfXty98hTQ8eLV23gnn+9F7FskfSU8NWjmjdMPWj5Ijv2ZYcdf7Fuc+j7FVu2V+gZIiJyeIpWS2JE\nzjkf8FN11nE4+O/qDdw+5+2DlvHElUgSnePhtz4q99kPnX96WJL4/Pvf8M6y8K36PuAL4IvQ8fBj\nevDA6GGh48Wr1vP7l946aD2J8R4+v+ua0HGh38fl08tfbuexi0cwtOeBJPGFjz/n3W8OPjn9N316\nhiWJy7ds54mFiw9yB8R74sKSRL9zvLj4q3Lj25a1P2w6Vn6hj+z8goPe4/eHJ48NkhLLrWd3di6F\nPj/xnkBS3DDJS2K8hzgzmjdIpVmDVJo3SKVpWkro0yQthd7tWoU95+h2rfhq/RYA8goLy61XREQO\nX9WaJEp0eOMP/Y/JVXA+TbzHE3bs85d/X8lnV2Ryi89fcpHuio2bLdkAXVbrXHH5hb6wY09FJt+U\neGxiiZ9LWUomWt6E8u8rLPGzaJSSRMMkLw2SvaQleUnzJpKSmEByYgIp3gSSExJIT0mi0H8gSTQz\nPrr9ClISEw5pctElJ/Thxn/MD8bu0+QkEREpU6WSRDPzE1gLsadzbnnwuCJZiXPOKTE9RN54T+ir\nNz6exAQPiZ54PMVmrcZF+EXfJjjuzed3+J0/+NXh8wXGxhX6/SR4wiellE7mSiuZuFUkxSiZfFY0\nL4mUFJqVXs/RLJDYJcbHl0rUGiYn0blFE+LMwhKiou8NQt3WReI9cQzo1I54TxyJ8R4SPR7iPXHE\nx8URF+yu95hxRHrDsPvO7X80J3TLIDkhmOQFkz1vQjzxwa7+tKTwbc1P6tGJTyZNqNgPpJhUb/kt\nkCWV/AdHfqEPb4L+SoqISGmVWgLHzBYRSAovds5tKHZcLufckEOusIbVtiVw/H6HWcVa7Kpqf14+\nBYW+0Lg7v3MYxobs5byycSo90wcwou2YsESnwOcLTswI3FPo8+Pz+ynw+YmLA48FEquWDdNC7+Cc\nY29uHmaGEXg3MwskchQlkRZKykpyxcYvOhzxcXFqEauAj5evC+vm/+SOK2mYXCt3yxQRkepRvUvg\nOOcGH+xYoqsm17lL9SZCeEMXX+3+iFe3PYJL9PFN9lt02Z/BgKRfha4neDwkVLB7toiZVSk5CSSV\nEFfx/9aF0t3heSW65kVERIpoATwp1xFJHUmIO5A5/vun2eT5cmIYkVRWYqnuZk1eERGRyKK144oP\nmOycu+sgZW4DptSFMYm1rbu5Nvgh63/MWHsPzb1tuSjjDzT1tir/Jql19ufl8/3mbXjjPSQlxNO+\naWMS4w+tFVhEROq0Gt9xxSpYqfoG66juDftyfoeb6Jx2NEmelFiHI5WU6k2kb0YstlAXEZG6pia7\nm9sA+2uwPomyoxodF5Yg5hTuY+ba+9iRt/kgd4mIiEhdVOmWRDO7o8SpwWXMLvUQSBDPB5ZUtj6p\nXbIL9zJt9WQ2565hU85qLuv8Jxontoh1WCIiIhIllR6TGFwbsYij/K7kzcA5zrlPK1VhDdKYxPK9\nvXkm7287sJRKk8RWXNvtEeLjEmIYlZQnt6CQ8c/OJT+4teNtI4YwoFO78m8UEZH6okbGJBatd2jA\nQuA54PkI5XzATuCH4DZ9Ug/8stVotuVt5NusxcQRxymtLlCCWAfEx8Xx+bpNoeM92bkxjEZERGqz\nSieJzrn3i743synAe865D6ISldR6HovnvPY38OKPD3NM4xPp1ej4WIckFRDvCez6UrQDjtZJFBGR\nskRldrNzbko0niN1S3xcAhd0uLnUTiefbn+Tno2OpWFCkxhFJgeTGB9PTn4BoHUSRUSkbFFds9DM\njgD6AOkEJqyU4px7IZp1SmyVTBD/vWU2C7e+xCc75jO+0500SGgco8ikLN54TyhJzC1QkigiIpFF\nJUk0s2TgWWAUZQ+INAKTPJQk1lNLd77Hwq0vAbA9byPPrr6Dyzr/idT4RjGOTIpLSjjw1z5f3c0i\nIlKGaLUkPgiMBr4HZgMbATVRHGZ6pQ/k813vsXr/MgBaeNuR5EmNcVRSUvGt+fLU3SwiImWIVpI4\nEvga6O+cy4/SM6WOSYzzcnHHW3lhzT2kxKdxXvsb8Fit34XxsOMttg1fXoFaEkVEJLJo/QZvALyg\nBFES45K4pONteMwTliBuyVlLg4TG6nquBdSSKCIiFRGtJPEroKKLT0s9lxjnDTvemL2K6Wum0DCh\nKb/tNIXU+IYxikwAzvhZDwZ0bos3Pp6fd2gd63BERKSWqvSOK2EPMTsdmAOc5Jz7rMoPjDHtuBI9\nW3LW8ffVt5PrC2zb3SqpA7/tdCcp8Q1iHJmIiMhhqUZ2XCmuGbAA+NDMZgJfAFmRCmoJnMNL48QW\ntPC25cfsHwDYV7iH/YVZShJFRERquWi1JPopvX9zyQcb4JxzEddPrE3Ukhhdub5snltzJ7vytzK+\n0500T9LIBBERkRipcEtitJLEMRUt65yLtL9zraIkMfpyffvZX5hFU+8RoXNZBTtZn72coxodF8PI\nDj//WbGO7zdvI7egkNbpDTiz71GxDklERGpOzXY314XET2IryZMatmZigT+fWeseYH32cga3GMkv\nW44mzuJiGOHh4+1lK3j5v18DcGzndkoSRUQkIv1Wlpj458anWZ+9HIBFW+cwZ/2jMY7o8BG2TqKW\nwBERkTJENUk0s7PN7CUz+8rMVhY738PMbjYzDUYTALo2+BkJlhg67tVoYAyjObwUXycxX4tpi4hI\nGaK1d7MHeBE4K3gqB0guVmQn8CcgAbg7GnVK3XZ0+gk0TTyCzHX30b/Jr+jZaEDomnMOswoPmZBD\npJZEERGpiGi1JN4InA1MBdIJ7OUc4pzbCnwEDI9SfVIPtEnpzMSuDzO4xcjQOeccr218io+2/ZNo\nTKqS0rwJxXZcKVCSKCIikUVrncRLgMXOuasBzCzSb/cVwK+jVJ/UEyXXS1y84y0+2/k2AJtz1nBm\n2ytIKLGDi1SNN2xbPnU3i4hIZNFqSexEoKXwYHYBTaJUn9RD+wp28+bmAxPlv9j9Pv/buTCGEdVP\n3gR1N4uISPmilSTuBxqXUyYD2BGl+qQeSktIZ0zH20nxBPZ2PrLhAAY0PTXGUdU/YRNX1JIoIiJl\niFaS+F9guJmlRrpoZq2A0ym/tVEOc53SejGh6wMckz6Ic9tdG7Z24q78rTGMrP5omOSlVaMGdGia\nTodmjTX2U0REIorWjitDgHeB/wDXAr8Bbge8wADgcaAXcIJzbnGVK6xm2nGl9lm7/1umrZ7MoOZn\nauFtERGRyqvZbfkAzGwi8DDg4cA+zr7gsR+42jn3ZFQqq2ZKEmuXXflbmbriZrJ9WQD0anQ8I9td\nS0JcYjl3ioiISAk1uy0fgHPub2a2ELiCQOthEyCLQFf0VOfcsmjVJYeXrbkbKPDnho435awmz5+t\nJFFERKQaRa0lsT5RS2LtszF7FTPW3ovfFfK7LvfS1HtErEOqswp8Prbt3U9+gY+8wkI6Nm8cNplF\nRETqtZrtbjazacCrzrl/HqTMGcDZzrlLq1xhNVOSWDvtzt9Gtm8vrZM7hc7tL8wiuzCL5kna8bGi\nvt+8jXMenRk6fv2GMXRsrtWpREQOExVOEqM1+n8s8LNyyhwDjIlSfXIYSk9sHpYg5vtzmbH2bp5a\ndSs/7v8hhpHVLUklWg1zteuKiIhEUJNTRFMA/TaSqPC5Qmave4j12SvI8e1j2upJ/JC1NNZh1QmJ\nxRbTBq2VKCIikVV6IJKZtS9xKj3COQjMbm5DYG/nHytbn0g4o0HCgS7SQldAnj87hvHUHd4SLYna\ndUVERCKpymj1tRwYj+cIrI947UHKG/CHKtQnEuIxD2e2uYIG8em8t/Vlzmg9nqPTT4h1WHWCNz68\nJTGvQC2JIiJSWlWSxBc4sB7iJcCXwBcRyvmAncB7zrk3q1CfSBgz4+RW59O9YT/apXQNu7Zy7xd0\nTjsGswqPzz1seBPUkigiIuWrdJLonBtb9L2ZnQRMd849Go2gRA5FyQTxo22v8ebm5xnQ9FR+3Xo8\nceYp487DU3xcHHFm+IMrG+Rp4oqIiEQQlcXRnHMdo/Eckar6fNd7vLn5eQD+u2MBOYX7GNnuGuLj\nEmIcWe1hZnjjPeQEk0NNXBERkUiiuoKumaUBZxJY7qYhgR1XvgBec87ti2ZdIpE0STyCJE8qub79\nQGBCi2mf51IS4+NDSaK6m0VEJJJo7t08CngSaET4Qo0O2A1c4Zx7OSqVVTMtpl23/ZS7jumr76SZ\ntw1jOt6u7fsiWLZhC564OBLjPbRomEaDJG+sQxIRkZpR4zuunAgsBHKBvwOLgC1AS2AwcBmQBAxx\nzn1U5QqrmZLEum9X/laSPWkkeVJC5zZmr6JBQmMaJmh3EREROWzVeJL4JnA8MMA5tzzC9W7Af4H/\nOOdOr3KF1UxJYv2zNXcDf191G964ZMZ1mqS9n0VE5HBV49vyHQu8GClBBAiefwk4Lkr1iVTYnvzt\nPLdmCtm+vewq2MrTq25jS87aWIclIiJSq0Vr4koSsKucMjuD5aLGzK4BLifQmueAB5xzM4tdvx0Y\nFzyc7Zy7LZr1S92QHN+Alkkd2FOwAwC/8+Oxw3u285RX3uWr9VvIL/Rx2tHduOrk42MdkoiI1DLR\naklcBZxuZUwjDZ4fFiwXTd8Av3DO9QaGA381s87BOk8EzgeOBnoCp5rZ8CjXL3VAYpyXizJ+zzHp\ng/DGJTO24x9pntQm1mHF1Pqde/h+8zZWb9vJT1laeEBEREqLVpI4A+gFvGZmPYtfCB6/Erz+QpTq\nA8A592/n3J7g9+sJTJZpF7x8HjDDObffOZcHTCOQNMphyGPxjGx3LVd2eYA2KZ1D5wv8eazcG2mj\noPotsdjWfPlaTFtERCKIVpL4MDCfQGve12a23cy+MbPtwNfAr4PXH45SfaWY2clAY+Cz4Kn2wLpi\nRdYGz8lhKs7iwloQfa6Qf6x7kOfW3MVnO96JYWQ1zxt/YKRJnhbTFhGRCKKSJDrnCgkkgpcCHxCY\nOdMt+HURgXGBI5xzh/TbyMw+CSackT7tipXrDUwHznPO7T/U+M3sBjPbUPQB0g71GVK3OOeYt/5x\nftj7PxyOVzc+wcfb/hXrsGqMt1hLohbTFhGRSKK244oLrKXzXPATrWeWO5o+2J39OnBpiTUYfwQ6\nFDvOCJ6LVM/DFGvlDCaKUo+ZGZ3TevPl7g9x+AFwh9FqRokJB/7qa1s+ERGJpE7vV2ZmRxLoxr7c\nOVeyv/Bl4GIzSzUzL4FWztk1HaPUXn2aDGV0+xvwWDxDW57HCc1HxDqkGhPe3ayWRBERKS3aezeP\nBS4ifO/mLwlMIHk+mnUFPUpgG8D7zez+4LlbnHMLnHOLzOxFAmMiIbCO4+vVEIPUYb3SB9IiqT3N\nveGzndfs+4YOqUcSV0/3ffYmFOtu1sQVERGJICpJopmlAP8EhhAYh7iLwHI3LYGhwBAzuxj4tXMu\nJxp1AjjnTinn+p3AndGqT+qnFknhm+t8set95qx/lJ81HszZbScQZ54y7qy7NHFFRETKE62WxDsJ\nJIOvAbc6574ruhAcM3g3MCJY7qYo1SkSdd/u+S9z1z+Gw/H5rvfw+QsY2f4aPBbVRveY65vRht+e\n1A9vfDzNG6TGOhwREamForV380Zgk3OufxnXDVgCtHLO1fpVjLV38+FrS85apq2ezH5fFgDtUrox\nruMkvJ7kGEcmIiISFTW+d3NjoMyF5oIznxcA6VGqT6RatErOYHznu0iLT6d1cmfGdPyjEkQRETks\nRasP7TvgiHLKtAa+j1J9ItWmRVI7Lu98N8meNJI9B7pi9+RvJzm+AYlx3hhGJyIiUjOilSTeBzxv\nZs+WWKsQCO2jfB6Bmc8itV5Tb/i/eXbnb+eZ1X+khbctF2bcUufHKK7ZtpN3v1lJfqGPvMJCrj/1\nBAKjQkRERAKi9ZsuGVgIvG9mbwEfA9uA5sAJwKkE1jNMNbNLit/onIvqfs4i0ZZVsJNpqyexK/8n\nduX/xCsbnuCcthPrdFK1autO/rrg49Dx1acMJMFT/2Zxi4hI5UUrSXyOwAQOA4YFPyUNB04vdmzB\ne5QkSq3mcPiL7Sj51e4PGdjsDFond4xhVFVTfFs+CKyVqCRRRESKi1aSOC5KzxGpdRolNGVspzt4\nauWtFLp8LuxwS51OEAG8CeF/9fMKfdqwXEREwkQlSaym3VREao1m3taM6Xg7AG1TusQ4mqorvpg2\naGs+EREprX7uOSZSDdqmdAlLEJ1zLNg8k++zlsQwqspJLNHdnF+gXVdERCSckkSRSvA5H/M2/I0P\nts1j9rqH2JC9MtYhHZKSLYm5akkUEZESlCSKVMKKvZ+zdNd7ABS4PGasvYc9+dtjHFXFJZUYk5hf\noCRRRETCKUkUqYQeDftxYvOzQ8fdGvyctIS6s6FQye7mvEJ1N4uISLi6vSKwSAyd0uoC9hRso5m3\nDUNanFun1k1MSoinTeOGeOPj8SbEl0oaRURELLCtshRnZhucc20rWFw/wMOY3/mJs/AG+Z15W0hP\nbFHqvIiISC1Q4RYN/RYTqYKSieDyvUt5bMUNvLtlVowiEhERiY6odjebWV8CezT3AFKccycHz3cA\njgXedc7tjGadIrXFF7veZ+76x/Dj5/1t82iR1I6fNT4p1mGJiIhUStSSRDN7CLiOA82YxbthDZgF\n3Aj8NVp1itQmzbxt8FgCfpcHwDtbZtGr0UDi4xJiHFlkm3ZlkVNQQH6hj+YNUmnWIDXWIYmISC0S\nle5mM7sMuB74J9AbuLf4defcWuC/wG+iUZ9IbdQ2pQsj218DwBFJHbms8921NkEEuOCJ2Yz4ywuM\nfCyT+V/+EOtwRESklolWS+KVwDfASOecz8zyI5T5DvhVlOoTqZV6NTqe8zvcRLcGPycxLinW4RxU\n8fpR2fgAACAASURBVLUStS2fiIiUFK2JKz2Ad5xzB1tsbSvQPEr1idRavRodH5Yg5vqy+XDrq/id\nP4ZRlVZ82Zs8LaYtIiIlRKslMR9IKadMWyArSvWJ1Ak787YwY+29bM1bT54/h5NbnR/rkEKKb82n\nxbRFRP6fvTuPk6Ou8z/++lQfc2dykRNCQgIiNwhqXEA8OARWZFEQ11XwQt1l/S2r64rooi6Kuyvu\nKq7iASiIAgICoqCyXHIoyKVyHyGEJBAScs3VR31+f1R1T890J5kkNd09M+/n49GPmar6dtWnu2Z6\nPvM9ZbikahIfBA43s5odsMxsEnAk8PuErifS9EIP+fFzX+WlgecBuOWlK/n96hsbHNWgbGawJjGn\n5mYRERkmqSTxm8AC4MdmNr3ygJnNBa4ApgHnJ3Q9kaYXWMDxO36ctGUBSFmajnR3g6MapJpEERHZ\nnESSRHe/Cvga8E5gJfAJADNbCiwlGrByrrvflMT1RMaKHdt35d07/zOtqQ7eN/+z7NW9uNEhlQ1J\nEtUnUUREhklsxRV3/xTwNuCXRH0Ui0T9FG8CjnH3zyZ1LZGx5NWTDuKTu3+HRV37lve5O+vyqxsY\n1dCBKznVJIqIyDCJrrgS1xSqtlBkmLbU4ETVoYfcuOKH3P/KLXx44ZeY2bpzQ2KqnAKnX30SRURk\nGHP3LZeaYMxsmbvvOMLiegNlxEIvcs2y/+X+V24BoDM9mVMXfJ5ZbfPrHsuyNevozeVpSafobG1h\nWueWJigQEZFxwLZcJJL02s2zgQOAyUCqVhl3/1GS1xQZS4yAVMUkABsLa/nLunsakiTuOLV5BtGI\niEjzSSRJNLM24AfAiWw6QzWiWjcliTJhmRlvn/thcmE/D629nddMeQtvnnlSo8MSERGpkkhzs5l9\ni2hpvseAnwIvADU7Obn7D7f7gqNMzc0y2kIPuf+V/2P/KW8iZYOV7uvyq+nOTGtgZCIiMs6NuLk5\nqSTxRaKpbw5y91rrNo8pShKlEZ7c8CCXLvkKR81+P4unHz3q17v6vj9zyZ0PMJAvML2rgx+dduKo\nX1NERBqu7n0Su4AfjYcEUaQRlvY8zo+XfJWC5/nF8u+zemA5b5tz6pBaxqSt7xvgiZUvAzCg0c0i\nIjJMUvMkPky0NrOIbIO1+VUUPV/eXtr7BKGPbuJWOU+iVlwREZHhkkoSvwgcZ2YHJXQ+kQlln8kH\n83cLzqQlaKcrPZX3zv9XMkHLqF6zcsWVfq24IiIiwyTV3DydaBLtO8zsUuBBYH2tgpoCR6S23boO\n4KOLziUfDjApM7W8/+WB5aweWMGrJr0m0eu1VEymnVNzs4iIDJNUkngx0QAOAz4Q7xs+oENT4Ihs\nwYzWob02+oo9XPLsl1mdW8FhM97Jm2eeSJBQP8WWiubmYugUiiHpVGIrdYqIyBiXVJJ4akLnEZFY\n6CGXP/c1Xs4tB+CWl65kXf5lTtjp9ETOX9ncDNHglXQqm8i5RURk7EskSRwLcx+KjDWBBezZvZhn\ne/5CwfOkLM3rpyU3NU5lczPAQL5AR4uSRBERiSS6LJ+IJOugaYczt30hP33uayyefgxz2xeWj/UV\nNpJNtZKybfs1rmxuBo1wFhGRoRKZTHvICc3SwDSg5tBMd1+a6AVHgSbTlmaTC/vJWAtm0RyooRe5\n6Jkv0B/28q6dPsGM1p22+pwr123g8nseJptO0ZpJc8JBezGprTXp0EVEpLnUd8UVADN7LfDvwCHA\nptqs3N2bvvZSSaI0u5tfvJz/e/FyANKW4a/nfpgDp761wVGJiMgYUN8VV8zsNcBtQB74NfDXwEPA\nCuAAYAZwK/BcEtcTmcgKYZ5H1t0zuO15OtOTGxiRiIiMR0nNd/E5ICRau/m4eN817n40sDPwP8De\nRDWNIrId0kGGjy76KgdPPw7DOHDq4ew+6cDy8TW5Fxko9jUwQhERGQ8SaW42s1XAb9z9PfF2CHzR\n3c+uKHMv8Ky7n7jdFxxlam6WsWJJz6PMbp1PS6oNAHfn+898jpf6l/HGGcfzumlHbXLlllyhwEV3\n/JGBfJFcocDxB+7JwhnT6hm+iIjUX32bm4EuYEnFdg7oHFbmDuC9CV1PRID5Ha8esv30xodZ0vMI\nAL9a8UMeW/9HPrTwizWf6w7f+PVd5e0D5s9VkigiImVJNTevJBrRXLIc2GNYmW5AQydFRtGj6/8w\nZPu1044Ysh16WP4+O2wKHC3NJyIilZJKEh8C9qzYvhV4q5mdZGbtZnY4cBLwp4SuJyI1HDvnQ5yy\n4PPs2LYrM1vnsVf3G8rH1ufX8PXH/567Xv4F+XAAMxsyV2J/XkmiiIgMSqq5+VrgfDPb2d2fA84B\njgcuqyhTBM5K6HoiUoOZsWvXfizq3JeewjoCG/w/8I5V17Am9yI3LL+Q2166mr/d+dO0pNPlSbRz\nmkxbREQqJLUs34XAhRXbT5vZQcA/AbsAS4EL3P3+JK4nIptnZnRmBqfF2VhYyx9W/6a8nQv72aFl\nLtlMCvqjfQNqbhYRkQpJNTdXcfen3P3v3f1t7n6aEkSRxulIdfPunf+ZuW3Rsn4HTn0rbelOWtKD\n/yfe9/IdrOzTVKYiIhJJajLtIvATd9foZZEmZGa8etJB7N51IE9seICZrfMAhiSJyzY+yzef/CcW\ndu7D++Z/lnSQaVS4IiLSBJLqk7gOeCGhc4nIKDEzXjXpgPJ25QjnYjFqWMhYdkiC6O7lNaNFRGTi\nSKq5+S7gwC2WGiVmNsPMXjSznw/bf5aZPR0/zmlUfCLNaufpk9llRjdzZwR0tEcDV94w/dghZS5Z\n8mWuf+F7rOpf1ogQRUSkQZJacWUv4E7gy8B/uXtdh0ma2TXAGmCau78j3nco8G3gtUAhju/f3P2G\nEZxPK67IhNNb2MCf193FQVOPKNccruxbwjefPKNcZvG0ozl27ocAyIc5egrry8faUh3llV8A1uVX\nRzN2Ey0l2JHuLh/rK2wkF/aXt7syU8sjsfNhjt6K87bqvDqvzqvzTsDzjqK6r7hyBvAwUZJ4upk9\nBLxEdQLl7v7BhK4JgJl9EHg2vv47Kg6dBFzi7j1xuQuBk4EtJokiE1F7uovXTjtyyL57Vv9qyPas\ntgXl75/reZSLnv1Cefu4uacNef43n/gn+oobAdilc28+uMtg2ZtWXsK9awZHW392jx/Snu4CYGnP\nY1z47Nk6r86r8+q8E/q8zSCpJPGUiu/nxI9aHEgsSTSzBcBHgUOJksJK84DfVWwvAd69ifOcQZTo\nlgxfUlBkQjpo6hEUvcDDa39HJmhh38kHNzokERGpk6SSxAVbLrL1zOxuYNdNHN6faG7Gf3D3vu3p\nWO/u5wHnVVxXna9kQli1oYe1PX305wu0ZTMsmjl07ea57Qs5of10jpr9fl7sf45M0NKgSEVEpN4S\n6ZPYCGbWDTwDbIh3dQLtwN3u/hYz+xbwvLufG5f/OPCGkUzToz6JMlF87qpfc/V9fwHgDbvuzPc+\n8Dcjfm5fsWfIYJap2ZlDJvBe1vtkea3ollRbedodgNUDK+kprCtvz21fSMqi/1n7iz28pPPqvDqv\nzjvBzzuKRlyrNipJopl1AJOA9aU+gaPNzE4B3lExcOUw4FsMHbhytrv/YgTnUpIoE8KXrv0/fnrP\nQwAcuGAuP/zIiQ2OSERERtmIk8TEVlwxszYzO9vMngLWA8uA9Wb2lJn9m5m1beEUiXL3W4HLgT8B\njwK/GUmCKDKRtFTMkziQ19rNIiIyKKkVV6YBtwJ7EK0E+yDwIjAT2B34PHCCmb3J3Vcncc3h3P1i\n4OJh+74IfHE0ricyHlSuuKK1m0VEpFJSNYlfBfYEzgd2cvfXuPvR7v4aYCeiZt+9gHMTup6IJKAl\nU5Ek5pUkiojIoKSSxLcDt7j7J9x9TeUBd1/j7v8I3AYcl9D1RCQBQ5qbC2puFhGRQUklie3A3Vso\ncxdQ136JIrJ52Yrm5pyam0VEpEJSSeKDbHo+w5Jd43Ii0iRaMoM1if1qbhYRkQpJJYlnAceZ2d/W\nOmhmfwf8NfC5hK4nIgloHVKTqOZmEREZtE3zJJrZ52vsfgNwOPAY0ZyEq4Ad4v2vBn4N3OXuX9rm\naOtE8yTKRLGur59V6zeSTadpSaeZ2a0VKUVExrnRnUzbzMKtflLE3T215WKNpSRRRERExqkRJ4nb\nOk/im7bxeSIiIiIyBmxTkujutyUdiIiIiIg0j0RWXBGRsemZl9bw/358PblCkYFCgR+ddiI7TZ28\n5SeKiMi4l2iSaGYziAapzAEytcq4+4+SvKaIbLvQnadfGpz/vj+naXBERCSS1NrNHURL8r1nM+c0\nokEeShJFmkTlPImg9ZtFRGRQUjWJ3wLeRzRZ9lXASkB/bUSaXEt66EeAluYTEZGSpJLEdwB/AN7g\n7ts6PY6I1FlLZliSqFVXREQkltSKKw7coQRRZGyprklUkigiIpGkksQ7gT0SOpeI1Ek2NbRPopbm\nExGRkqSSxE8DbzCz0xI6n4jUQRAYmYpEUc3NIiJSkkifRHf/i5kdDNxhZmcAfwLW1y7qH0zimiKS\njJZ0inwxqkHUwBURESlJagqcRcD1wOT4sesmijqgJFGkiXzosINwjwax7DtvdqPDERGRJmHuvv0n\nMbsROIJorsTLgRVAzSoJd39uuy84ysxsmbvvOMLi2/8GioiIiNSHjbhgQkniBuDX7n7Cdp+sCShJ\nFBERkXFqxEliUgNXeoAlCZ1LRERERBosqcm0rwUOMzPzJKomRaRurr7vzyxdvZaBfJH9dp7NkXvv\n1uiQRESkCSSVJP4L8BvgEjP7F3dfntB5RWSUXXf/o9z77DIABgr7KEkUEREguSTxASALvAY42cxe\nYdNT4CxM6JoikoDKpfm04oqIiJQklSQGQB5YWrGvVsfIEXeWFJH6aElXTqateRJFRCSS1GTa85M4\nj4jUX7Zi/eacahJFRCSW1OhmERmjWjKDNYn9WpZPRERiSTU3l5nZHsDuQIe7X5L0+UUkWS1DahLV\n3CwiIpHEahLN7HVm9hDRus1XAhdXHDvUzHrN7LikriciyahMEjVwRUREShJJEs1sH+BmYGfgPOBX\nw4rcAawCTkzieiKSnMrm5gHVJIqISCyp5uYvEK3VfIC7P2Nm/wa8rXTQ3d3M7gYOTOh6IpKQmZO6\n2G3WdFrSaeZPn9zocEREpEkklSQeAlzl7s9spsxS4OiEriciCTl58b6cvHjfRochIiJNJqk+iR3A\n6hGU0TyJIiIiImNAUkniEmC/LZR5HfBEQtcTERERkVGUVJJ4FfBmMzuh1kEz+yiwP9GoZxFpIhv6\nB3hsxSoeXrqCe59Z1uhwRESkSZi7b/9JzLqA3wOvAm4AOoE3AucArwfeQjQ1zmJ379vuC44yM1vm\n7juOsPj2v4EiDXTTn57gjMtuKG8/8KV/JFuxVJ+IiIwrI+76l0hNortvIBq8cgXR4JTD4iDOIkoQ\nfwa8eSwkiCITTVdry5Dts6/5LUn88ygiImNbIjWJQ05oNg04CJgKrAfudfcXE73IKFNNokwkuUKR\no792ESvWbijve//BB/Cpow/FTGPNRETGmRF/sCeeJI4HShJlonnqxdW8/7tXsLa3v7zv9MPfwEff\n/LoGRiUiIqOgvs3NIjK2LZo5jQtOPZ6Olmx53zd/cxc/vuuBBkYlIiKNlOTazW80s+vM7FkzGzCz\nYo2HFoYVaVJ77TiLb73vOFoqBq18+fpbNeJZRGSCSmTFFTP7APA9oirMp4E/AEoIRcaYg3bZka//\n7bH84yXXUwhD5k6ZxF47zmx0WCIi0gBJTYHzNNAFHOXu92/3CRtMfRJlorvhwcf49BW/4hvvfTtv\n3mNhef+6vn4yqRTt2UwDoxMRke0w4j6JSa3dPBv4/nhIEEUEjtlvd+ZMmcR+82YP2X/u9bfyh2eW\n8ZY9FlI98Dna8U9HHUxrZvCj5bwb72AgX9zs9d62z27st/Oc8vYvH3qch5au2OxzFuwwhXe/fnDN\n6WdeWsPlv394s89RfIpP8Sm+Zo+vmSSVJD5FtDaziIwT+w/70Lrv2WVc98CjAPz47gc3+bx/OHzx\nkA/Jy+95mI0Duc1ea9dZ04Z8SN7z1FKuuu/Pm33OGxbNG/IhvmLtBi4dwUAbxaf4FJ/ia+b4mklS\nA1e+DhxnZiNtohWRMaaUIIqIyMSQSE2iu19kZlOBu8zsO8DDRBNp1yp7exLXFJH6Ovsdb+XA+XO5\n4aHH6Yn/M/ZSl9yKnrnBsHbovXeaRV8uv9lzT+1oH7K907Tuqqbu4XaZMW3IdldrdovPUXyKT/Ep\nvmaPr5kkNXDFgK8ApwOtmyvr7k2/KKwGroiIiMg4VfeBK18GPgW8CFwGrAA231NTRERERJpWUjWJ\ny4E1wGvdvXe7T9hgqkkUERGRcaruy/J1Ab8aDwmiiIiIiCSXJP4R2Dmhc4mIiIhIgyWVJH4WOMbM\njkzofCNmZieY2Z/M7M/xY37FsbPM7On4cU69YxMREREZq5IauPIW4Dbgl2Z2M5ueAsfd/UsJXRMz\n2x84B3izuy83sy7iATNmdihwMrAP0TrSd5rZXe5+Q1LXFxERERmvkhq4Eo6wqCc5BY6ZXQrc7u7f\nrXHsW8Dz7n5uvP1x4A3u/t4RnFcDV0RERGQ8qvsUOG9K6Dxbaw9giZndBkwCfgGc7e5FYB7wu4qy\nS4B31zqJmZ0BnFGxq3NUohUREREZI5JaceW2JM4znJndDey6icP7E8W/P3AUUf/K64CPAedvzXXc\n/TzgvIrrLtuWeEVERETGi6RqEkeFuy/e3HEzWwpc7e598fbVwGKiJHEpQ0dcz4/3iYiIiMgWJDW6\nuVEuA44ws8DM0sARwEPxsSuBvzOzDjNrAT4A/LRBcYqIiIiMKU1dkzgCPwUOAP5CNKr5DuB/ANz9\nVjO7HPhTXPZyd/9FQ6IUERERGWMSGd083mh0s4iIiIxTdV+WT0RERETGESWJIiIiIlJFSaKIiIiI\nVFGSKCIiIiJVlCSKiIiISBUliSIiIiJSRUmiiIiIiFRRkigiIiIiVZQkioiIiEgVJYkiIiIiUkVJ\nooiIiIhUUZIoIiIiIlWUJIqIiIhIFSWJIiIiIlJFSaKIiIiIVFGSKCIiIiJVlCSKiIiISBUliSIi\nIiJSRUmiiIiIiFRRkigiIiIiVZQkioiIiEgVJYkiIiIiUkVJooiIiIhUUZIoIiIiIlWUJIqIiIhI\nFSWJIiIiIlJFSaKIiIiIVFGSKCIiIiJVlCSKiIiISBUliSIiIiJSRUmiiIiIiFRRkigiIiIiVZQk\nioiIiEgVJYkiIiIiUkVJooiIiIhUUZIoIiIiIlWUJIqIiIhIFSWJIiIiIlJFSaKIiIiIVFGSKCIi\nIiJVlCSKiIiISBUliSIiIiJSRUmiiIiIiFRRkigiIiIiVZQkioiIiEgVJYkiIiIiUkVJooiIiIhU\nUZIoIiIiIlWUJIqIiIhIFSWJIiIiIlJFSaKIiIiIVFGSKCIiIiJVxnSSaGY7mNn1ZvawmT1qZj80\ns7aK42eZ2dPx45xGxioiIiIylozpJBH4LPCku+8D7AXMBE4FMLNDgZOBfYA9gCPN7JhGBSoiIiIy\nloz1JNGBLjMLgCzQDiyLj50EXOLuPe4+AFxIlDSKiIiIyBaM9STxS8AiYCXwEvCou18XH5sHPFdR\ndkm8T0RERES2IN3oADbHzO4Gdt3E4f2BvwYeAd5KVIt4nZl9yN2/v5XXOQM4o2JXZmuevjXXEhER\nERkLmjpJdPfFmztuZh8HPuLuRWCDmf0MeBPwfWApsHNF8fnxvlrXOQ84L4mYRURERMaDsd7c/Axw\nFICZZYAjgT/Hx64E/s7MOsysBfgA8NOGRCkiIiIyxpi7NzqGbWZmC4DvAHOAFHA38Pfu3h8f/zxw\nSlz8cnf/TCPiFBERERlrxnSSKCIiIiKjY6w3N4uIiIjIKFCSKCIiIiJVlCSKiIiISBUliSIiIiJS\nRUmiiIiIiFRRkigiIiIiVZp6xZWxwMweAzrrcKlOYGMdriNbR/eleeneNCfdl+ale9Ockr4vG919\n95EU1DyJY4SZLXP3HRsdhwyl+9K8dG+ak+5L89K9aU6NvC9qbhYRERGRKkoSRURERKSKksSx47xG\nByA16b40L92b5qT70rx0b5pTw+6L+iSKiIiISBXVJIqIiIhIFSWJIiIiIlJFSWKTM7NdzewuM3vC\nzO41sz0bHdNEZGatZvbz+D48ZGa/MbNF8bEZZnajmT1pZn82s0MbHe9EZGanmpmb2Tvibd2XBjOz\nFjM7P74HfzKzS+P9ujcNZGZHm9n9ZvZg/P6/P96v+1JnZvYNM1sSf3btV7F/k/fCzNrN7Cdm9lT8\nN+mdoxWfksTmdwHwXXffDfgqcHFjw5nQvgu8yt33Ba4Fvh/vPxe4x913BU4FLjOzTINinJDMbD7w\nYeCeit26L413LuDAbu6+N/DJiv26Nw1gZgZcCpzi7vsBxwIXmFkXui+N8DPgYOC5Yfs3dy8+CQy4\n+yLgSOB/zWzaaASnJLGJmdkM4ECiX2iAq4CdSjVYUj/u3u/uv/TBkV73APPj708EvhOXuxdYDryx\n7kFOUGYWECXspwMDFYd0XxrIzDqADwKfLf3euPvK+LDuTWM5MDn+fhKwmuh3R/elztz9dndfVuPQ\n5u7FSRXHngVuBY4fjfiUJDa3nYAV7l4AiD9olwLzGhqVAHwCuDb+7y1T8ccPYAm6R/V0BnCnu/+x\ntEP3pSksBNYAZ5rZfWZ2h5m9RfemseK/IycBV5vZc8DvgPcDXei+NIUR/I7MY2jNY+WxRGntZpGt\nZGZnAouAtwBtDQ5nQjOzvYATAPWdaj5pYGfgEXf/VzPbH/gNoH7VDWRmaeAs4G/c/XYzOwi4Dthv\n88+UiUg1ic3teWB2/Etd6ksyj6g2URrAzD4J/A3wNnfvdffVQMHMZlUUm4/uUb0cQvR+P2lmS4DX\nE/UdPRHdl0ZbCoTAjwHc/QHgWWBvdG8aaT9gjrvfDuWmzGXAPui+NIUR/F1ZSvQPWK1jiVKS2MTc\n/SXgfuC98a4TgGXu/lTjopq4zOwM4GTgcHdfW3HoSuCjcZmDgLnAbfWPcOJx92+7+2x3n+/u84n6\nin7E3b+N7ktDufvLwM1EHesxswXAAuBRdG8aqVT58GqAuI/7QuBxdF+ayebuReWxBcBhwM9HIwit\nuNLkzOxVRCOapwHrgVPd/U8NDWoCMrMdiT5cnwE2xLsH3P11ZjYTuIToD2AO+Ad3v6UxkU5sZnYr\n8N/u/nPdl8Yzs12AHwDTiWoVv+juV+neNJaZnQycSXRPAuAr7n6Z7kv9mdkFwDHALKIBRBvcfdHm\n7kU8KOxCooGtReAsd79iVOJTkigiIiIiw6m5WURERESqKEkUERERkSpKEkVERESkipJEEREREami\nJFFEREREqihJFBEREZEqShJFZNwys1PMzM3slEbHsr3MbLGZ3Wpma+LXNCqT525FPEviVW625jkX\nx7HPH5WgRCRRWrtZRKTJmdlk4Hqiz+wfAWuAxxoalIiMe0oSRUSa30FEqy59xt3PbXQwsbdsw3M+\nA5wLvJBwLCIyCpQkiog0v9nx15UNjaKCuz+9Dc9ZAawYhXBEZBSoT6KIbBUzOyzuV3a2mb3ezG4x\ns41xX7kfm9kOmyq/uXMN2+9x/7sdzezy+NzrzOzKeE1TzOyv4mtvMLNVZvZ1M8tsJu53mdn9ZtZn\nZsvN7GvxGqi1yp4QX39dXP4BM/tIjXJnx7EeZmYfNrOHzazfzC4ewfvYaWbnmNmTZjYQv4arzGzf\n4e8F8MN486L4em5mh23h/LfG5dri9+aFOLYHzOxdNcrvZmb/GR9/JS77FzM7q9b7WqtPYkWfw4Vm\n9mkze8LMcqX7W6tPYmW/UTM72sx+H7/nL5nZ/5pZ+ybeu6/H97Evvq/v2lQfVDN7q5n9xsxWxu/1\nCjP7rZkdt7n3UGSiU5IoItvqtcAtwAbgO8DjwHuA68zMEjj/FOAOYA5wEXAf8E7gWjM7GPg1sBr4\nLrAK+H/AWZs417uAS4A/Af9DVJt1BnC9mQ35HDSz/wR+BuwMXA5cAGSBC8zs65s4/6eB8yrO/9Dm\nXpiZtQG3AmcCL8fPvRE4FrjbzA6tKP4F4Nr4+2vj7S8ASzZ3jQpXAscBPwUujF/XFWb2gWHl/gY4\nFXgS+AHwPaAIfCk+x9Y4H/hn4E6i9+OZETznHcDVRK/rf4EXgY/FMZeZWQr4JdH9fgH4b6L3/RKi\nnw+GlT+W6GdlT6L3r/RezwH+eitfl8jE4u566KGHHiN+AIcBHj9OqNgfADfH+xfXKH/2Zs519rD9\npfP/x7D918X7XwGOqdjfQZT4rQEyFftPqTjXG4fF+vN4/wcq9h8Z77sKaKnYn6kof1DF/rPjfeuA\n3bfiPSw974Jh+98IhMBTQFDjdZyyFde4NX7Ow0BHxf4Fcbzrge6K/XOA7LBzGFGy6MDBw44tAZYM\n23dxXPZZYE6NmErH59d4bTng9RX7W4FH4/djbsX+D8flfwbYsPeudK9Pqdh/NTAAzKgRz7RG/z7p\noUczP1STKCLb6lZ3v6q04e4h0chbgAMTOP9GomSq0hXx1/vd/YaKa/cANxDVPu5Y41w3ufttw2I9\nM958b0W5vydKMj7m7gMV5fMM1lKeVOP833X3rRlt/H6gn2E1n3GM1wELgYO34nyb8+X4/Sld41mi\n2tEuohrG0v7l7p4bFo8D344337oV1/wvd1++lXH+2N3vqbh2P1HtpwEHVJT72/jrZ+P4SuVvI6oh\nrCVPlIQO4e6rtzJGkQlFA1dEZFs9UGNfadTq5ATO/6S79w7bVxr0UKs5tzSoYw5RTVal3w0v7O6P\nmNkrQGUfwNcR1bB9vEaLealf3u41rn1fjX01mdkkYD7wgLuvqlHkVqLkbV/g9pGedzOqXnu8Vert\nzAAAIABJREFU71NUvPa42f2DRDV7ewKTiBK0ktmM3Ijfjwoj/XnaF1jt7o/XKH8XcNSwfZcDxwN/\nNrPLiLpI3Onu67chRpEJRUmiiGyrWn9kC/HX1CidvziCa9cavFIrGYOo39uiiu2pRJ+L/7aZuGoN\ndnlpM+WHm1Rx7VpWDiu3vWq99tK1K6/xTeDjwHNETbQriWrfJgOfAFq24ppb836UjPTnqYuo3+SI\nruvul5tZnqiP5D8TJcd5M7se+Cd3X7oNsYpMCEoSRWS0hfHXWp83SSVCW7LDJvbPZGhysh7oc/da\nTdab41suMuQapWtvKqbKcttrB2DZ5q4Rjxj/GFEN7WJ37ysVNLPXESWJW2Nr3o+ttYFN388ZtXa6\n+9XA1WY2BTgEOBl4N7DQzPavbLYWkUHqkygio21t/HVOjWP71ymGqv59ZrYHUR/GyqbrPwBzzWyn\n0QokbuZ8Fni1mU2vUeSN8dcHE7pkrb6NpX2l176AqGn5t5UJYuyvEoojKQ8B08xstxrHFm/uie7+\nirtf5+4nEw2y2heYNwoxiowLShJFZLQ9RjQI5e0WLS8HgJntAvxjnWI40sxKyVep/9058ealFeW+\nGX/9gZl1Dz+JmS2wZNYd/hHR6N0vDTv/IURTwTxNNH1MEs6snA/SzBYApxHVyJWm1ik1uS6unL4o\nTsQ+k1AcSflJ/PVLw2I9mOr+iJjZIfG0OZX70kRdCyAa+SwiNai5WURGlbvnzOx/gX8B7jeza4n+\nQB8P/IZofr7R9kvgJjO7HFgOHEE0YvZWomlZSrH+0sy+QpQYPWVmNxE11c4AXk00sOU9jHyOwk35\nKtGciB81s32IBqjMJRo53U80LU+4medvjaXAn8zsGqLE9GSiZv4Puvs6iEY2x8ePB+41s1uIan7f\nDtwEnJBQLEn4AfA+4ERggZndTDSo5iSi+3wMg10cIEr8Z5nZ74juW4popPZewGXu3jSr2Ig0G9Uk\nikg9nElUc5clGhxxQPz1m5t7UoKuBP4O2IdoEuY5wNeBY4cnY+5+JnA0UdPzUUSTbh9JNIjjU8Bv\ntzeYuEn3TcBXiPoH/jNRcnMDUZ/AJEY1l5xIVGN4MtHo5aXAie5+4bBy7we+QdTf7x+A/YDPEr3m\npuHuBaL78g1gJ6L7uS9R4nhLXGxDxVO+QpSE70/0M/c+oBf4KNFrFpFNMPXXFREZf8zsVqIJxJNY\n/WZMMLNLiOa93NPdH2l0PCJjnWoSRURkTDGzqjkb4z6J7yaaX1MJokgC1CdRRETGmu+Z2RyiLgHr\niSY4L/VFrNdgKJFxT0miiIiMNVcQjdB+J9BNtBb1L4GvuPtdjQxMZDxRn0QRERERqaI+iSIiIiJS\nRUmiiIiIiFRRkigiIiIiVZQkioiIiEgVJYkiIiIiUkVJooiIiIhUUZIoIiIiIlWUJIqIiIhIFSWJ\nIiIiIlJFSaKIiIiIVFGSKCIiIiJVlCSKiIiISBUliSIiIiJSRUmiiIiIiFRRkigiIiIiVcZ9kmhm\nrzWzO83sLjP790bHIyIiIjIWmLs3OoZRZWYZd8/H398MHO/u6xscloiIiEhTG/c1iRUJYgpYDvQ2\nNiIRERGR5jemkkQz+4aZLTEzN7P9hh3bNW5SfsLM7jWzPSuOvQd4FFjr7oV6xy0iIiIy1oypJBH4\nGXAw8FyNYxcA33X33YCvAheXDrj7ZcDuwBwz27sOcYqIiIiMaelGB7A13P12ADMbst/MZgAHAkfE\nu64CzjezRcDz7j7g7qGZbQD6h5/XzM4AzgDa4kfe3btHGta2vBYREZExzLZcJDndNtUHqv98b7UB\n+u5z94MSCGlCGFNJ4mbsBKwoNSW7u5vZUmAesL+Z/T1Rrent7v7k8Ce7+3nAeaVtM1tWn7BFRERk\nSwbo5xA7ZrvP81v/2ewEwpkwxkuSuEnufiVwZaPjEBERke1gCfSQU9vfVhkvSeLzwGwzS7t7waL2\n6HnA0gbHJSIiIgmwIIEW7nD7TzGRjLWBKzW5+0vA/cB7410nAMvc/anGRSUiIiIydo2pmkQzuwA4\nBpgF3GRmG9x9UXz4NOBiMzsTWA+c2qAwRUREJGlJNDfLVhlTSaK7n7aZY48Di+sYjoiIiNRJIs3N\nslWUlouIiIhIlTFVkygiIiITkam5uQGUJIqIiEhzM0DNzXWntFxEREREqqgmUURERJqfqSax3pQk\nioiISNOzQI2f9aZ3XERERESqqCZRREREmp+am+tOSaKIiIg0PzU3152SRBEREWl+qkmsO6XlIiIi\nIlJFNYkiIiLS3Mw0mXYDKEkUERGRpmdalq/u9I6LiIiISBXVJIqIiEjzU3Nz3SlJFBERkean0c11\np+ZmEREREamimkQRERFpfqpJrDsliSIiItL8tOJK3ekdFxEREZEqqkkUERGR5qfm5rpTkigiIiLN\nzVCS2ABKEkVERKTJmZLEBlCfRBERERGpoppEERERaX5acaXulCSKNJmX1/dwyjcupz9faHQoIjJO\n/Ms7DuOI/XdrdBjbR83NdackUaTBHn3+RT56wTXki0UA1g/k8CxRR20RkQT84LZ7x36SKHWnJFGk\nzu74yzN8+tJfUQxDAHoKBTwLXirQPljWB/eKiGyzV4K+Roew/ay+wyjM7FTgQuB4d//5dp7r88lE\nNSI/dfcnkjiRkkSRUXbd7x/hnKv/j9CjhK+vWCDMAqm4QGawbCkp9BQMzCziKUREtltnd1ujQ9h+\ndeyTaGbzgQ8D9yR0yrOhbv/1PwgoSRRpRpff8SBfv+F3eJwU9pSSwpKK7x0HgzANAzOKUeJY+TlY\nrEfEIjLeLeic0ugQxgwzC4DvA6cDX0v69Amfb7hEE1EliSLb6cxLb+QXDzxa/tUMA4YmhXFNYbmW\n0MAzzsCMMJqEquIjwwpRyXRXniAES6m5WUS23/y5HY0OYfvVb+DKGcCd7v5HS/6a3wTuT/qksYuS\nPqGSRJGt9MUrfsM1f3iEUlaYx4cmhaUmYveoSAgeQN+OxaFJoYPlgcDJdOcwPOpyk/HoqW7qkygi\niXg+t6bRIWyf5FZc6TSzZRXb57n7eeXLmO0FnAAcmsTFarjZ3a8bjRObmZJEkXr79o1385PfPVhO\n117p78crfnNK31cmdBZSrlm0IqSKRtuyoJwgWnuR4vwBLHDIQFg0wri8540MTjqltmYRScY9K59t\ndAjNYqO777iZ44cA84En41rEWcB3zWy2u3+7DvE1FSWJIsPc+pdn+PHtfyxv3/PUMsLKpDALBJT7\nHEKUKPbPKkZJYGkAXjFKFtMDTsuKNKmBKEN0d0ICeDGDp5ww67ROzRGkotHO2dYCkyeNg5GIItI0\nvDe75ULNrg7NzXEiWE4GzexW4L+3d3Rz6XQJnKOu11CSKBPeS+s28tgLL5W3T7/wWrxipoUhI5FL\n+wKnf25c01eRFBKCBSGZrnzUfGyOp2FgrsU1i07Ym6Jz0gBBECWZbS05OtpzVXGlrUg6UG2iiGy/\naZPH+uT8NqZXXHH3UZ+/ZzSuoSRRJhx3L09HA3D4F783ZBBxraTQzaOaQgBjyNQ0FoRk2vOYO2bg\nWScsGtEljLA/oKttALPomp2TN9Lakq+KKxsUSFmIWVwhaU5g6pMoItsvFWxsdAhjkrsf1ugYGklJ\nokw4h3zu26zrHShvF7NU/SY4Tm5q1PxLAGGLl/seWiok01Igbh3G24t4MRpk4kCxz+hqy5eTwq4p\n/bRkq/+Lb03lCSzEiLLClDlW7tfotFkOL9R38lgRGZ/SA+PgH04ty1d3ShJl3PuXH93AH595obz9\nSr4fb62cdwZwp9AWT1GThmK7E8bblgpJZYqkc9FzwvYCpJ04RyTsN9pbCuXPr+4ZvZtICnOkzAHD\n4lrCUlIY4HSm+klZSNpCDpz0nMY1i0hilmxY1OgQtpuPwyTRzGYB7wLuBR5w94EtPKWulCTKuLNs\n9TqWrV5X3v7lw08MaR72tAGOx583noLCpJDCpNJwZCdIFcn2RbV41pmDrOPxcnk+YGSLXu4ePGXa\nRtpaq5uP21I5zByrkRSmLWRSqpfAnNYgz16dy/H4hI6xutiJFm8WkaQs7A63XKjZjc+GlbcB/0PU\na30x8IdahcxsLtFI62fc/ZV6BackUcYVd+eocy4csi9soyrfKrQ6uRmlD00Hc1JxUpialiNoC6E7\nLttvBP2Dn06Tu/qYPKm36tqtqVx5Ki8zov6FcVKYsSKTgl6CADrTA+zW/mI5KQzdWFPsqvl6pqU2\nbN0bICJSw5TMvEaHILW9Of76iLtXJYhmlgF+CJwU7wrN7HrgdHd/YXj5pClJlDHN3TnmnAtZtaEn\n2gbCVqr+4wwDj5a9g2jgSTqeyBpIT86TahsculIYMPIbBhdUnjKph2lTeoZfmJZUIRpwB5g56SAs\n1wVW1hROSfexS/uqclJY9IBXip1VryVNkanpDaUQKYbGgGeqyomIbK1ZbXs3OoTtNw6bm4G9if50\n/XYTxz8JvLtiOwUcBxxoZn/l7s+PZnBKEmXMeWHNOlatj5K2Z19cw3Pr1w9NCgOiPoatcR/DDBS6\nwmh+QyDVmiedLZYHlhQd8r2D7dGT2vuZPnvo6gTukAmifoYpc4IAMhXT06QI6Uz1ERhMz2xkYUVS\nWNhEUthqOSanotdhOH1hppwUTg7yvKptDZ1BU3VPEZExqqMlteVCzSy5FVeazQ7x10eGH4jXkD49\n3nTgemAK0YTfc4GLgbeMZnBKEmVMWfbyKxz15YsHdxh4W3W53OSQwuR4UEi6GA0IyUeZZNhSiFY3\nibsgtgZFuqcPTg+RzRZwp9xUnLKQdNppqVgBxXDabAAzmJFdz+4dL5YHmuQ9VTMp7Aj66QqiSbID\nnI3FbDkp3CE1wIGtK2m3AhlzsAIFjVwRkYRkfFWjQ5DapsVfa62beBhRP0QHznH3zwOY2WeAc4DD\nzOxN7n7LaAWnJFGaWhg6Z1x8PS+sWQ/AqnUba/YxLGZCBmbGfQzjGsKgNyqUmZPDKv6JDgagvWKg\nyaTOXtLpkNLUiYE5mVRIW7pyhLITxLMpzsquY9/OF8r/1OY8zZoaSWFX0EdH0B+dE2djIcuAZTCc\nWal+Xt+2nFYr0EKRfpwwnkKnn6h2U0QkKW1BR6ND2H7jsyYxB2SA9hrHjo6/bgS+WrH/XODDwM7A\nyYCSRJmYrrjzYX776NNDk8Is4E4xG40wDrPR6OTSBNjZSQMEmcEsK9+TGjIp9awd1tHaMnSKmpSF\ndGQqRyg7qTgpnJruYe+uF2iJm5fzHrAmrB593Bn00W7RyimBheWaQsOZnernr7qWkbWQNopsjJPC\nPDAAhBXXLQloY+qk0xER2V6dbe9sdAjbbTxOgQOsAjqIagyHO5Loj8Kv3L3cMd7d3cx+AnwGeN1o\nBqckUZrK6vU9HP3li8gXSglZGNUcDpObElLojpuDWwqkCUl7qQ+gD+ljOHP6ejrahi57FxDSlh5M\nCgNzUhalam2WY0Hby0xKR7WAmaBIn7fQW25tNsDoDnrKyWeGAr1hhgFLE+DMCAoc0raMjBVptyIb\nPMSJEsKh46IHk8JMMI+p3Z+Ir5Cmve1IUkH3iN87EREZcx4GFgCHA/9Z2mlmC4E9if5I3Fjjec/E\nX3cazeCUJEpT+ferbmaj5wd/MuN/HMOgYgWUlBOmvdycnJqSxxisjesI8nR0D45GbmvN4eHgQJMg\ncNJBkXQQPSNFyLTMRqZmoudMy/ZgFk1NAzDgGUKCuA9ilNS1WIF8CEXSBIRMTjkHty2LRjUHhXJS\nmAdWD2k6HtxozezPlK6PRC/TMrS1vpnAamTEIiIyXudJvJ5otPKbzGxPd/9LvP+TFWVuq/G80mTA\n1X2dEqQkURrqD088z4cu+Fm5P6AH4K3x9z44YXVuehivgOJkJw8QpAaTrWJvilR6cFDJ1B16CAIv\n52NmTku6OGTgSYvl6U5HdXoL2l6mPZ2jdLFcmCbvqXjgSnSSNhsg43kcIwCyFnJw+0qCwJlmOXrj\nRfmcTSeF7S1vorvjPQAE1kpb66GYZbfj3RMRmUDGZ3Pz5cAXgdnAzWZ2PtHI5dOI/oA87O7P1nje\n1Phr/2gGpyRRGuorP7+FQpbqya7biuSmR0likC1iRaclH+BBiBcgnwvKT9lx1hoy6aGrCaSDIu3D\nBp6U+hju0fECs1s2lD9vesMsvWHr0OdTYHpqPWaD09js0/IygUFXkKfVcuX0b22cHJauU9LZeiRd\n7ccBYNZOe+tbMNOvnIiIRNy9x8w+DFxLNB3OF4YV+domnrpX/PXF0YoNlCRKnf3ivkc587Iby6mU\nB0Ar4PEyefFE1/nJ5RJkunLlhM5DpyuVp6Vi4EkmHYI72VS0L7AoSSz1MZya7mFR24t0paM5B/s9\nw7pw6Ei/gJAdM6vL2wU35qT7MJzpqRxTU73lGPLu9FW9Mqez5Qg62qIpq4Kgi462t2M2xucmExFp\nFuOzJhF3/5WZvR34NtGI5ZL/cfdLN/G0NxLVSjwxmrEpSZS6Ou+G2ym0UFVzmOsKKUyNmnfTHXnS\n8WDl0JxcT5ry4GSDafPWlpunI05rukA2bk42nOkVfQxntKyn4Gk2xCNgQgwjZGZ6cH3njBXxuMPL\njFQ/8zMb6AqigS0D7vTilZWEgNPVdiyZVLTUVSo1ne7OjygpFBEZFTZeRzcD4O43AgvMbH+ipufH\n3f3pWmXNbDcGV2q5dzTjUpIoo+rXDzzBv172K8I4q8ubQwtDag7DDBQ7HSsAaSfVWsQ9GojiRWfn\naa9UNSdnU8Uh8xgGFElblCS+tnsJ2YrVUPrCLCFGq1XMjZjqLU9kPSnIs1tmI/Mz0ZJ4vTi97qwb\nNldhW2Z/gmAyAOnUHHaYcq6SQhGRehmfA1eGcPcHgAe2UOxjFd/fPorhKEmU0fXv19zMQCos1xx6\naSBKd7wiikXNyVkcM6foDgNBOSlMpUIy6ZAwhFQ8GjkdOC1BgVScFM7OrmNR+0t0pKJpbtYW2ukJ\nh6553Bn0lfskpnAmBwUOal0exUSBPpyXhyWF6WCHch/CdDCbuTOuIVprXUREpGG+TZRI7g/8bjQv\npCRREvXC6nWc9ZOb6M9HtXyr+/ujNZM9HtxhQADFjqjm0DIhlnLCEDDDHHaavaaq60lbpjBkdPLU\n9IZyc/Ls1vX0eZa+QmmksJG1PLPSa8vlPTR2bY1Wbdkh1YdToLQqclh+VsVE1jaJ+bPvU1IoItIM\nxu/azVvN3Z8g6ov4o9G+1rhPEs3sYOC/iHKBq9x9UyOFJAHvP/8KVm4cXAe5tApKblKRwhTAnOyk\nHFmPaw5DpwUnlYlrCVNFzMDcyaYLGI5hZIICqTide333M7QExfLnRU/YgkF5XWSArqCXQnzx+eke\nZqc30B5EiWu/O9F3lVWHKXaZ8xil9gyzrEYii4g0kfHcJxHAzLqJ+iMOAMvcPb+Fp4y6ifBX8Bng\nUHfPmdktZvZtd+/d4rNkxHoHchTDKOFa2buRsKW6TLED8KjmEPM43TNSFjJrh3VV5VvSebKpqFTW\nCryqfWW55jBHhh7PDMnxJgcbycU/zu1WYGGmj1dlo5rE9R6SAzYOa06ePfV75e8z6V0IglGdk1RE\nRKSKmb0O+DpDl9gLzewp4I/AffHXB9x9Y41TjJpxnyS6+/KKzSKVy+TKdvvUxTdw48ODI/A9/onK\ntxUJ28EDJzUpTyYTEgDFVJHWICQVJ4CZeBLstBXJBoWo66IZLUGObFAkwDmweyk5T5GPf1xDAlos\nx4x4dHLcgs2eLVFS2Bn0kavRx7A9O/j719byV3S2H5v02yEiIqNlHFYkmtm+wC1EQzorX2EK2C1+\nnBzvczN7kihhLD3uH83EcUwliWb2DeDtRPMI7e/uD1Yc2xX4ITCdaLmaUyqWt8HMDgeedvdRnZ18\novn1I09QrJyHOv4RL3Q73gJBtkDQUcBDCA2yHjJz+vqq82RSRTLxwJRpmY28umMFXamo1+DqYhfg\npOP8Pk2BqamN5aRxYXoDM1MbaI1HNA/EVYyVfQwzqV2YO+OaJF+6iIjU0/hsbv488WzBRM3MdwGr\ngfnAHkB7RVmjOnEMgVHrPD+mkkTgZ8B/UHs0zwXAd939YjN7J3AxcBCAme0IfIYowZTt0NOf464n\nnqMYRglb3hwCi9YcsXhZvbaQVLpIqmgUgzypIgQWgkNba9TFImMFMqliueawM+ijI50jYwUWtK8h\nR5bVxcEl6yYHPWSsNA8i7JzpZZ+45vCVsEgBhkxwbWRZMPuP5e3S1DUiIiJN5BCiBHE1cIi7P146\nYGYBsDvwGuCA+Ot+DF2veVQnBhpTSaK73w6Ul0orMbMZwIHAEfGuq4DzzWwR8DxRwvixTVXJmtkZ\nwBlAW/zwWuUE3vHVi1mxvqe8bZmovq5/RhFvhaClQLYrX/7Bai2EzJ5R3ecwnQrJBCEGzMysY7eO\nl2hN5XCMdcUOAkK6U1HXUcPpsn7mZqPtndLryOGsqug4YMCk9pPK2x1tx5JKTUv41YuISKP4+Jwn\nsSv++o3KBBHA3UPgkfhxCYBFCdBuDCaNB4xmcGMqSdyMnYAV7l4AcHc3s6XAPKIsfQ/ggji5/Ft3\nf6Hyye5+HnBeadvMltUr8LFmeW8P3lb7mOUMWooMbEhTandu7YwSuxRhXHPomEF3upf2VI72IMes\ntg30k6V/SM3hRnIebU8NBtijZT0z0tG5NrhjMGTF5Jbsa5gx9evJv2AREWkO47O5eRmwCyNcXs/d\nHXg8fvxkFOMCxk+SuEnufhFwUaPjGKs29g9w/7PL8XjFFDcHjGI6mgiblENXkezsgWgASRjVHAbD\nfpdbUgXSqRDDmZzuYe/O5bSl8oRurA/bSVNgWjqq6DWcThtgz9YVAKStnxBYX1G/G9DG/FmDE82n\nUrNG8V0QEREZFfcSJYkzGh1ILeMlSXwemG1maXcvxNWx84ClDY5rzDvm3y9kdV/FWJ9MlP0FBYv6\nIoZOccChYGDQmi0QGITFaIWUVOAE5nSk+skEIZPTPcxtX88AWQaG9Tkc8AyGMyfVyy7ZtbRbtIJK\nP1Gni0xqYbl8d9dHSKfn1uMtEBGRJuDjsiKRHwDvJhozcX6DY6kyLpJEd3/JzO4H3kvU//AEooko\nn2poYOPAy/n+6uZlh9yUAmYQTMrRtUNfuRWgsy1KKDtbcqQDLz/hwMlL6U71USDFumI7GfIVNYcw\nNehnn9a1QEh/PNV15TD0IDWPebPvGK2XKSIizW4cNje7+81mdgNwtJkd4+43NDqmSmMqSTSzC4Bj\ngFnATWa2wd0XxYdPAy42szOB9cCpDQpzXCk1Lxey0frLgYPlAzLz+yCA1mKBaZN7hj+LtBVJETIt\ns4EFHa9QIB1PZROZFPQy4BkCnFmpXhZk11CIk0OAgDTdHR8sb3V1nISIiExQxricJzH2AeAe4FIz\ne7u7N02NyJhKEt39tM0cexxYXMdwxqXTvnM1dz/53OCOePalVD4Ag2K2SHHHAeiLlrzr7o4mnmlL\n50lZaR0V2KdrGZPTfRjQT5YUxfJo5QBndqqHvVvXAk5fnBwWKgaiZLMHMn3Kv43qaxUREWk0d19l\nZouB/wNuNrPzgf9294Z3mRtTSaKMvjuffo4wW7EjMHAotkYJYGrnXmbssBGzKKHLZqK5CwNC0hbS\nFuRY1LGK9lSuvHYywKSgh3xcc9id6men7Fry5MtpYYo2dpjyVQDMUrS1HjbaL1VERMaQ8bp2s5nt\nD3yTaE7EAPgE8Akze4SohrG0NN/D7p6rZ2xKEmWIMOWQsiHTywAEe0RNym02QFtrnngu7fLAlGnp\n9bSli8zIbqA1VSQkIBWvkJKxAjNTvby6dR0BIWE8IKWy5rC19Y10dbyzDq9QRETGpHE4T6KZ7Q7c\nTvXKKhBN37cHUXM0QN7M/sLQ9Zwfdvf8aMWnJHGCc3de6alYq8QAd/rnxGsq9zlhVwi9Ua1g+7Qo\nwetqyZEyB5z2IMfek1bQliqQ9xS9YQvdQQ8tFjUjO8ZOmfW02gAhTg5I0cWMqefGF03T3vqm+rxg\nERGR5vE5oINoEY888AfgZaIZWvYgWrKvJEu04sp+/5+9+46TrK7y//86VZ1mpicnwsw4MIMYiBIU\nA0EUAyoqBlxZGUBl/SrqFzPuuoY1sbujIv4UA46uq4iALiroFwRJooBIUCTvJJhhCJNnOtb5/fG5\n1V1dHW/XvVW3qt5PH9fqunXvrVM1XdTp80lAsdN+D2ERkFQoSWxyL//Md3l8W8lCNO3RJNiPh18N\ne+Z2Zs/bMfBnTXtbSPxyFMhbgb3aNrPXlO300EZPyZQ2Tz/VyQ9/GxbAacv3ctHbL6SrZXC0c0fH\ni+ic+oZUX5uIiDQGp2Gbm18Y3T4NHOPu9xYfMLMW4LmEFeUOi7aDgPaS80s7iCVOSWKT27Brxwgr\nqDg+O1Svp3V2MXWE5uXlUx6nI9/H9JZunBxTrIvZ+dAknQMe7ZrPKQffxl8f35O/blzE1l3zWDr/\n5PC4tTNj2qnVeYEiItIYGjJHZCEhBz6/NEEEiFaRuyvavgcDieMBDCaNh6UZnJLEJuf5UN0rDIwx\ncTwPvnc3ANOmhOblGe3d5Cwsrze/fTt7TdlGixXo8zw9nmOKddNNG9Osl9n5XTzvmXeSN+fSO4/k\nrxsXQe4A5s78aA1eoYiISGZtBJ5BWGZvXFHieGe0fS/FuAAliQLg0LUo9EFszfcyZWb3wEP5llBC\nzNFP3mCfjieY276bHm+lp2Rsy9LWbRzQvo0CBXZSIE+efH4x7S0zAeicegYiIiKT1aArrtxKSBLn\n1zqQkShJbDIrr7iRX9/x92H7pz0SSolTXrCF2bN2lT3q7NuxifZ8gZmtXTg5ZtoOZubDgBc3mJ3f\nyc6SybBbWw9l8cIrWLj2TuA6LrxxI5f+5SoAZk+dwode/hLaWvKIiIhMSGP2SfwB8BbxROuSAAAg\nAElEQVTgtcA3ahzLMEoSm8xFN9yOl+ZmLWEexOLciB3toS9iR74Xw2nJ9bGwfQeLpm4jb06v5+n1\nHG25HixnzMvtZlZ+JzNyYeRy0fRoUMp+C+bSms/xh4eHzgn66gP25+DFe6b6WkVERLLM3a8ysyuB\nV5nZK939N7WOqZSSxCZTaA3zIA7dV6B//1A9bGsN1cDWXD85g707trB3xza6vY3BHNB5VutW9m/f\nQQ/Odnfa80uZN/vzAORsGu1thwNw5D6L+fM/vw/3cPKP/3QXX/7tDfT096f/YkVEpGE0YnOzmS0B\nVgDXAD82s9e4+x9qG9UgJYnNxoCCszuaB7Fjajedc3YPjBrL5UIyNyO3k3wO9mjdBsCc3DY686Gv\nogMdud085YWBy7a2HTHqXIet+cHSZXtr+JXr6y+MeKyIiMgwjbt282pgC/AYMAu4zsy+BnzN3R+t\nZWCgJLFpeTTL0oz5O5kxvWvIY23Wy1GzV5M3Z1ehjS5vI0c/kGNByy5m5nbSmQvN0UELsztPn9Dz\nFhPGXlUSRUREAGZGmwOtwIeAc8zsHoYuy/fXaHRz1ShJbHB/fGANV9/10LD9U7aFSt6UZ4Qpbjpb\nQ5Vwftt2lk97kq2FaUOOP7BtM8vbd9KFs8OdqW3Hstf8H0SP5jCb2CCUlnxYV+mOtY/RG1UTp3e0\nc8TSvbHG7JQsIiIVM8g15HfEz4HnEUY4FxXrpgdFW1GPmd3N0GX5/uruqVVdlCQ2uHddePnQfhzt\nYaAKW8NIlZaWAuC0WEjY5raGCbFn2E7ac+EPlhbrJ2ddPFnSvNzW+lzMWmPHM7MjlDAvvOHWIft/\n/M63cuiSvWJfT0REmkMj9kl095MBzGw2IVks3ZYztJG9nbD6yuHAWdG+LsKyfqlQktjg+tvKB6o4\nNqeH3gUhATQLTcZ7tG7BDGa37gRydHfn2dE7FevOsd+eG+jMD21entl5yqTiefF+S7ngba9jV0+o\nYN6+5lEuuf0etnV1j3OmiIg0tQZMEovcfTPwu2gDwMw6gUMJq6oUE8f9gdKmu9K1nROnJLHRRQNV\nemZFlcJ9NzNj3q6Sh51Zrbt57vQNAHR5Kz2e45DOjSxp7+Ka2/fn2UsfZ1r7a9lj7lfCOdaC2eSW\ni2zN5zn+2csG7hfcueT2e+gvaCCLiIhIkbvvAG6MNgDMbApwMEMrjqlRktgk+maHKmBbZw/u0Gqh\nC8OyzidYMnUL2wpTS452ZuZ3k7NeDli+GoDWtv3I5aaStJZoIItGO4uIyFgasbk5LnffTRjM8sdq\nPN+YSaKZJdEZ8jPu/tkEriMVaO0OSVh7Wx9mztS20Nzcng//xAvzmwf6JeasQEuujx6cebO3sXXH\nVPaed2wqcbVEHZH7VEkUEZGxaHBj1Y1XSTRgDWEen7gMOHoS50kF+gsFdvf0Du4wwCG/V+jzZ+YY\nRFPawPzW7QD0Foy+fBsLcruYkd/F9PZDmDntDXz++zu5/o5ebvleOhXtfC6MdlaSKCIiki0TaW7+\n/mQrgWamb/4qe8EnvkFX7+A0Sj4NCu1O7+bQhzA/t0DBjZfNCes37/Y2eryVozs2MrOtnx7vpwtn\n2pTjmTX9TDrargTu423//MOBP+IOWLYn/3LmKxKJtyVKEi+49hZ+/Ke7AJjW3srnX38Ce8ycnshz\niIhI/avn5mYzmxH9uDOtKWvSeI7cOI/3A5UkepWeLzHtsl76OxjYZizdxt7Pf4ylSzexdOkm5rbt\n5AVzVrO9MIXthSn0eLQCivWyw/vojkYwt7YsB+Do5y1j2aK50RyGxuNPb+fXN92bWLz77zGfZfPn\n0NPfz8Zt21n91NP84eG13LH2scSeQ0REGoAlsNXOFuBp4MR6eo4xK4nuHn8ivATPl/g8D+B4lP63\nz9tNrqUwsO7ywvbtdLb00GZ9A5+XKbluwCkMTHFjTGs/EoCXH7k/Lz9y/4Hrf/yCX3Lt7Q/i7olM\nfr3nzOn86uzTBu7/v3sf5AMX/4qC+xhniYiI1J1qpKmJPodGNzeiAux+Rqg0t03vIWfO9PYwL2FL\nLhR2O6wbx2ilQLv1MnPa25gz/Q0A5PMLaGlZOOKli30IC+7kU+hEXGx+1pQ4IiIywOq7ubleKUls\nRAbWEz5Nxcmy89FAlZktYY7EA9ueoDUPc/K76KefaVNexJSOF4176Vw0Grm/vzCQMCYpFyWeqiSK\niMgQjTG6+aVmNqvWQUzUuEliNHHjnsCT7r6t7LF9gZXAcYQS543AR9w9uU5rMintC0MymDMHg+fN\nWAtAW66fPnIsadtBzqDbnX6gtWViS+Llo7WXC4V0krj8QCVRSaKIiDScs2sdQBwTqSSeDXwROAoY\nWHA3WmfwBkICWUzvXwUcYWYHufvGhGOVcXhUffNWp78Q/klmte5iz45tdOTDtDi9odMiu/CBforg\ntLYsmdBz5FOe17B4fTU3i4hIqQZobq67VzCRJPEYYLW731q2/2xgL+B64HRgB/AJ4P8C5wAfTTBO\nmYDevj7a5nTRtkcX/R0hyVo8ZQsLOnbQUzKGaFqui7b8M2nJzwagrXV/2lr2nNBzDDQHp1VJtKiS\nqOZmEREpVXcp1hCnV/G57kjqQhNJEp9DSATLvYEwvc0Kd18T7fuQmb0KeAVKEqvilZ/7Ho9v3T5w\nf+bRW2ibMTiZtplTcGNJ66aBfQXPMX/2t5jaEX+C7GJz8zW3PsDUjpB4LlowiwOWTSzJHPf6USXx\n/o1PcN19DwPQ0drKEUsX0ZJPvg+kiIjUB6/jrwB3/0GtY5iMiSSJ84H1pTvMbBpwIHB3SYJY9Hvg\n1ESik3Gt275tyL+i5aDQk2PGtN0AtObCxDYzW0LiuCS/ldZcL/nc7Ek934xpHQB86QfXDOxrbclz\n3TffS1tr5eOgOjvaAbjk9nu45PZ7BvZ/5S0n8soDnlnx9UVERGRiJvKtngNmlO07PNr/pxGOfxpo\nqzAumSBvdTAb6I+IOeAD6zC35XrJ4RzZ/hQAu7xAD5DPT25w1WknHsmBy/YcGFhyyTV/4fa/r6Ov\nv0BbArNi7r9wHt98+0ls2d0FwIOPP8lFN/+ZbV1dlV9cRETqV303N9eliSSJqwmDVkodTxj28McR\njp8HPF5ZWDIRITE06Hd2L43mRZzWi+EsbNsKQGe+B8fY4kMHguSsc1LPOW1KGy85dNnA/RvvDE3C\nSfVRNDOO3X/fgfu3PLyWi27+s6bEERFpYk5DDFypOxNp4b8SeJ6ZfczMZpjZ4cB7gF7g1yMcfyTw\nvwnGKKPo7YvWaC754LRYP7Nbd3Lg9Mc4cPpjWPhokbep5K2TvHUypfVgzJKZIrN0cu00FAfKaEoc\nERGR6ppIpvAl4BTgC9EGIS1Z6e5Plh5oZsuBQ4BPJxijjGJXd1/4l3DomBpWVHn29I0s7NjB1v6p\nA8e1WS9L9vgzLfmZicdgKU9+XZy821VJFBFpbo0xmXZdGTdJdPcnzewFwGeB5xP6HP4M+PoIh78W\nuAu4IskgZWS7iv30ck5hStTcnOun343FrU8NHNdifeRs6kiXqFgxiUtrShytwCIiIlqWrzYm1Obo\n7uuBMyZw3FeAr1QalEzM5t095Dv6yM3vwkqStD7P0RXNi7hHfidzWnYm1rxcLu15EweTxFQuLyIi\nIqPQ2s11bNuu3cw9+Alapw+dFxGHE6eFZfi66aOXwWbhpA1UEj2dFVIGk1CtwCIi0tRUSay6SSWJ\nFspS+wOzgPxIx7j7DRXEJRPw9M5dWEuB/u4cU6d2A5A3x8zZRRjUknZqNdBnMKUnGkxCVUoUEWlm\nam6uvlhJopnlgH8D3guMN4fKiMmjJGfLrt2hH2+/0Z4PfRLzViBvTmFwYWaMjtRiSLvPYPH6Grgi\nIiLVYGYdwMWEFed2A5uA97j7QzUNrAbiVhI/A3ycMHjlB8CjEJWspCpWP7GZx57eBsA96zbCnLB/\nWi5UEqflw+3e8/574JzWluWpxWMpNwcPTIGjJFFEpLlVt5L4beAqd3czex/wXeDYqkaQAXGTxBXA\nI8AR7r45+XBkLLu6enjNF1cN+aAsOMHJ4Rwz+wEAtvRPpYAxteOlVYmpuNbybX9fx+oNTwOwcM50\nli+en9D1wzyMG7du56+PbgSgraWF/RbMTa2fpYiIZE+1mpvdvYswR3TRH4EPV+fZsyVukjgP+KYS\nxNpY9+RmCh0MmQL9ubMfY3HnFp7qnz6wr816qhbT1I6wAuMXvn/1wL58PsfVX38PnVPbK75+e0v4\nFf3p7ffw05K1nL/4xlfw+kOeU/H1RUSkTtSuLvAB4H9q9uw1FDdJfBCYm0YgMr4nt+8MCWLB6ZsS\nml9ntu+mr2DMb90+cNw0q946x28+/hD2mDudvv7Q3PybW+7jtnvXsru7N5EkccmcmXzxja8Irx3Y\nsHU7P771Lp7esavia4uISNPpNLP1JfdXuvvK0Q42s3OB5YTliJtO3CTxP4DzzWwfd9fSe1W2o2ew\nQtizR0jKDOj1Fno9/FPOyHWxoKV6CVTn1HZe9cLBit6Da5/gtnvX4iS3lnNpxfDu9Rv58a13JXR1\nERGpFwk1N+9w90UTOdDMPgy8EXiZu6fyxWpm/ZM81YHtwFOERUx+B/yXu28f86yY4iaJq6NAbjOz\nrwJ3AttGOlBT4CRvV8/gfIi53R7mtzHHHY6ftgaAVutKfdqbMVm6U+IUuyFqShwRkSZTxeZmMzsH\neBshQdyS5lNVcN7MaNsHeD3weTN7r7v/OKng4iaJvydkr0ZYpm+sb2pNgZOw3cVKojlti7rAB3+7\nploY1dxdm9AGFJO4pCqJw65f/EFJooiIpMDMFgH/SRioe100SLLb3Z+fwtPdQMilpgPPK9m/HXgY\n2AlMA/YFZkSPOXAH0EUYK7IfoTPaTOC/zKzd3b+fRHBxk8TxEkNJ0fauUEnsn+b07Qo5uBH+QbqG\nzItYu4V0Buc1TOf6xRHN+iUUEWkuXqUZLaKliKvyZO5+rJk9E7gi2nUZ8O/ufmv5sWZ2JPBRQhN4\nJ/B2d3/AzGYD7wc+ScjrLjCzX7n7E5XGFyubcPdPV/qEMnldPT1M32crbfN3U2grpkmhsDun8z0D\nx3W0v6Qm8cHgpyqt5mBLefJuERHJIKMhl+Uzs07gl4TBMR9x9/8c7dgocXxT1FfyPOB/zOzwaMaZ\nz5jZI4Q5rDuAdwOfrzQ+rd1cR3b09NK5ZDuWH1xPxQxwZ+6sf6llaAMsV2xvTre5WTmiiIg0gLMI\nzcU3jpUglnL3/zCzk4AXRuevjPb/l5m9n9Bs/TISSBJz4x8iWdHd1ws4hd0tzOroZlZHNzmcnGUn\nYzLSbQ4ebG7OzmsWEZH0uVW+ZdCbCV+Zl8Y872eEusmby/b/Mtr/rMpDGydJNLNHoqx0Uio9X4bq\n6u0HC79Neesnb/205fpoz/WOe261DIw+LmgtZxERSZAlsGXPvtHthpjnPRbdLivb/3B0O2vSEZUY\nr7l5aYVPVOn5UmJ3X0gGczj7TXkcgLzVdMKbYao1sEQ5ooiINIDO6HZBzPMWlp1fVFxNI5Hq0UT6\nJL7ezJZO8vr6Kk9Qd28vM1t3M7t9N3t2bAVgd6GdXG1nRhxiYD3l1AauRJfXr5aISHPJZiWwUo8R\n5jl8A/D/xTjv9dFteQVydnT7VIVxARNLEg+JNqmxrv5+njtrA9Nbu9lV6BjYPy1X69kRB6U9ujnt\nKXZERCSbMtqnsFLXAu8EXmpmZ7r798Y7wczeSVgm0AkLnJQqLlG2KYngxksS90ngOdKcqbyp9PUX\nyFuBnT1tPHva4NKTm3eWV5trJ5dLeZ5ENAWOiEhTaswk8evACsICJBdGcyGudPf7yw80s/2BDwFn\nRLv6gfPLDnsZIXn8SxLBjZkkuvuaJJ6klsxsDnA1sL+7ZyebmoSe/j4A+j1HP3l27urg0j+8mOXT\nH89crTe1FVca8z8SIiLShNz9HjP7Z+BL0a53Au80s8cYuuLKMmCv6JjiN+En3f2vxWuZ2SHAAdHd\n3yYRXzPMk7gdeDlwSa0DqVR3oX9ghZVXT32MjX3T+cqG+ew7peJJ1RMzUElMaXSzaXSziEhTatDm\nZtz9PDPbBXwZmBLt3ovBpLCo+A7sBj7m7heUPb4aeHb088MkoOGTRHfvBZ62BihB9ff3QzQn4pNe\noKuta5wzqi/1eRLV3Cwi0pzq/2t8VO5+gZn9krC83hsIs8OUWw1cDlzg7qtHuMYWEu7iV1dJopmd\nD7wOeAZwqLvfWfLYfoTlaOYBW4EV7v63mgSakq5CNKLdwXB6e8P6zZu3zathVGXSHdxcMrpZRESk\ncURd/D4EfMjM5hMqiZ3ADuCxJNZijquukkTCjOTnATeN8NiFwLfdfZWZvQlYBRxRxdgS5+7804U/\nZ82TmwHYtG1n1Nxs7LPXfdjjW4DL6erZv6Zxlkp7smtNpi0i0pwatbl5JFFCWPO+ZHWVJLr7DVAy\nF1/EzBYAhwMnRLsuAy4ws+Xu/tB41zWzc4BzCH0BppCRQtVdazZw08NrBlcxKXnZ+dxMsDCQJZeh\n1RXT7jOotZtFRJpUEyWJWZGd7KIyi4EN7t4H4CFDWQssATCza4BDzewaMzug/GR3X+nui9x9rrtP\nBTZXMfZR/emhNXg7FNrCRmtuyGekUIgm0c7QB6cYSk9vP109vXT19NLXn+Bk31q7WUREpCpiVRLN\n7CLgF+5+xRjHvAZ4o7ufMdox1ebuL6t1DJOxbnPU/7Tg7N6jH6wfcCxf4LjPXTiQfGUoRySfD393\nvPPzFw/sm9nZweVfPoPp0zpGO23Cis3Nl93xN6697xEAWvM5/uXEl/L8fRdXfH0REcmg7K69nDgz\nayMsaTyhL013X5tWLHGbm1cQRteMmiQCBwOnMTjZYzWsA/Y0sxZ377PQ5rmEUE2sW1t3D66k4lOA\nPo8+JMbG3l3RA3DAooUjnV4TLz18P9ZseJqevn4AHli7iYfXP8VTW3clkiTO75zG8c9axqNbtgHQ\n3dfHw088zZ/+d52SRBGRBuU0dp/EaPnjDwCvJsyJONFX66TYdTCNC08F+lK47qjcfZOZ3QGcShiw\ncjKwfiL9EbOsqzD4NnZ4P335voHfmrs+dXb0k9HWkq96bKPZa/5MPnnGCQP3v3XZzTy8PpElJAFo\nyee44B9eN3D/4See4jVf/2Fi1xcREakmMzuZkLtMLe6qXTRDjZskmtmSsl2zRtgHYUmZvYE3klIF\nz8wuBE4E9gB+a2bb3X159PBZwCozOxfYBpyeRgzV1FdMEnMO83rI9Q727WtrqasxRyIiIpXJTOqU\nHDN7DvBjQj5mQBdwO7Ae6B7j1KqYSKaxmsHRvk4oh35gjOMN+ERlYY3M3c8a47H7gaPSeN5aKVCA\nXIHCnF76duYxM0xDNkREpAk16HffR4BWwsv7HvBhd99a25AGTSRJ/CEheAPeAdwF3DnCcf3A08B1\n7n5VYhE2sX6HhS/YSMvUqrbei4iISHUcS8ixbnD3d9U4lmHGTRLdfUXxZzM7Bvi+u5+fZlASOE5+\nSh/93Tna2nvxaNxKi/XXOrTY0q5/NuhfmCIiUtSAzc2E7nMAF495VI3E6tjm7vukFYgMV5yQ2nty\nTJseqolmToslOO9gytJeMtsa9L8aIiJSpjH/c78dmAskN8IzQY0ymXZDKu0ImqcvbFZgSq63lmGJ\niIhUnVvlWwbdH93uWdMoRhE7STSzV5jZVWb2hJn1mln/CJs60SWgEFUSW6zAcXMe4Lg5DwCN+seU\niIhI0/kJ4Wv9tbUOZCRxV1w5BfhvwgtaDdxHledEbCaOs1/nJubO2snOQtvA/jZVEkVEpNk0ZoXk\nO8C7gOPN7C3ufkmtAyoVd7K9fwZ2AK919xtSiEdKFBz2nrKVPAVaB/ohFphlu2oa12R4yiNLPO0n\nEBGRmspoc3FF3L3XzE4CrgR+FM2b+DV331zj0ID4SeJyYJUSxOooEEYxb+2ewrzObbhDT28Lf390\nCTyrxsFNkKU9ckVERKROmdm10Y/dhJzsX4BPmtn9wJPAeCNV3d2PTyu+uEni46h5uXr6B6tjB7c/\nyebtU/jgz97Bi5feP8ZJzUU5qIhIk2jM/94fy9BxqhBWsHv2BM41Up4BLm6S+F/A28xsirvvTiMg\nGVTagrq4dTvTO0J+roZVERFpKkajJokw8ivLxKuNmyR+hpDdXm1mHwfudPcdyYclAM7gpNk73OnJ\nhwErhYJmLhIREWkAmZ5/eswk0cwKjFy4MuD66JiRTnV3j5uASpnSdVWmtB5CV08rAJue3q82AVVA\nK06LiEglGnTgyppaxzCW8RK5G1DrZs2UNjcvWnglLZu3A9/FfVbNYhIREamJBkwSs27MJNHdj61S\nHDKSUdJzDdYopTdDREQkDWoSzrCCirgiIiKBagJVpyQxw/qUJIqIiAD13SfRzC6KfnR3P3OE/ZM1\n5HpJi7ss37XjH0UB2E5YtPp/3P2WyQQmoO6gIiIikTpOEoEVDH6pnznK/snKRpJImPQRwgsa6Z+r\nfP9HzOxHwArXumnxFaD0d8d9vInXM0zL8omISHMbbfLrStLfTE2mPRX4GbAE+DzwB2ATsAB4IXAu\nsBb4P8D+0TGnArcDX08m5ObRCGlP2svyaRCPiEhzqOfmZkafD7F+50kcwecJk2kfWLbiynrgEjP7\nFXA38EF3/7CZ3Q78nVBOVZIYk0dLNpYni/X9OREREZmEOv7yG20+xKzPkxh36Y63Aj8fbUk+d98F\n/Bw4Jbq/FfgtoaoocTVCKVFERETqUtxK4lygfZxjOoA5Jfc3Ej8ZFQCv6z+cREREkqMvxKqLm7zd\nB5xiZnuP9GC0/xTCyOaixcCTkwuvuQ0MU4k6YtTz2Iy0B5bU8VsjIiLjsfBVWOkm8cStJH4J+Alw\nt5l9kzBw5QlgPmHgyj8Bs4H3AZhZG/AK4HdJBdxMytc7rsdEKO2BJaY/LUVERFIRK0l095+a2Uzg\nPwkjmUvzFgN2Ae91959G+6YREsd7Eoi16RRGnfJGiZGIiDSZBv/qM7MDgdcAzyV02+uYwGnu7sen\nFVPsFVfc/dtmdglwEnAwMJ0wefZdwBXuvrnk2M3AZQnF2oRGW7y5ulGIiIhIOsxsL+B7wAlxTyVj\n8yQC4O5bgB8kHIuUKW9uFhERkcYRtc7eQJgvMXMlIK3dnGU+5t26Us+xi4hI7TXowJNPAPsSviaf\nIswpfT2wAeiuYVzAOElitPC0A+e6++MxFqJOdcHpZlE+ILgel55Le2BJcWBMPb43IiISQ2Mmia+P\nbh8HjnD3R2sZTLnxKokrCEnilwkvYMUEr+ukuOB0s2vMz4mIiMgYGvPLbwkhZ7ogawkijJ8kFtcU\nfLTsvlRBIZrFUjUyERGRhrSdsEjJA7UOZCRjJonlawpmfY3BxqP0UERExGnYPokPAfOAmbUOZCQV\nLZdnZnPMbHFSwcjY1O9ORESaliWwZc9PCJEdV+tARhI7STSzWWZ2vpk9Tlht5X9LHjvSzK40s8OS\nDFLq2MDAknSfRumziIjUoYsISx6/xcyeX+tgysVKEs1sHnAbYdm9tcDfGZqb301Ynu8fkwqwmRVG\nnQInm38O1YLeCRGR5tCIaze7+y7gtcBjwFVmdqpZ2gvaTlzceRI/Q5jP583ufpmZ/SvwqeKD7t5l\nZteT0bJp3THVx0RERICGrQq4+8NmdjBwNWGhkv8ws9sI8yaOtj5vyenpTTkYN0l8HfBLdx9rqb3V\nwIsnHZEM8pE/Ew36OREREWk6ZrYQWAUUu+otAF4d4xKZSRIXENrOx9IHTJ1cOFJKy/KJiIgQDTxp\nvO9EM5sBXAfsX9xVdjueTK3dvAlYOs4xzwHWTyoaGaIRPg5WpZErGvktItLYstinMAHvB55F+Mp/\ngrAs343ARrK+LN8IrgFOMbPl7v5Q+YNmdgRwAvCNJIJrdsMqicW7jflBmZQM9e8VERGJ683R7WOE\nZfk21jKYcnGnwPkc0APcYmbnEFUVzew4MzsX+B2wGTgvySCblo/XX1VERKRJNOY8ifsSSkDfyFqC\nCDErie7+iJm9ErgY+A/CCzNChdGAdYSRz2puToSaUEVERICsJnmV6iKM4xjWOpsFcZubcfdbzGw5\nYV6fI4E5wDbgVuAX7t6TbIjNS3VEERGRhvYwIY+aXetARhI7SQRw917g8miTVA1WE+txcEaxy2D9\nRS4iIlnSoANXfkYouL0S+E6NYxkm7oorHzWzI8ysojWfZWLKB67UY5KYtsb8b4aIiAzTmH0Svwk8\nCJxkZq+qdTDl4iZ7XwL+CGw2s6vM7GNm9nwzy6cQmwywMe6JiIg0gQZMEqNl+V5D6JN4uZmda2Yz\naxzWgLjNzccBx5bcvoLQkrjTzP4A/B64HrjV3fsTi7JJ+bAfijL4my4iIiKxmNm10Y87gXbCLDKf\nNrP7mfiyfMenFV/c0c3XE5LAz5hZO3AUIVk8JtpeHh26E5iRXJjNS9MAioiIUNUVV8xsP8I6yvOA\nrcAKd/9bCk91LIOloOJtC2FhkvEYGVtxZYC7dwO/N7PrCesNvgp4HzAfmJZMeM2tvAtiPfZILE52\nrf6UIiJSiSoPXLkQ+La7rzKzNxHWVj4ipeca6ZVlokQUO0m08K1/KINNzi8mVA0LwJ3ADwnNzlKh\n4sAVpVdjGEhCaxyHiIg0BDNbABxOWEEO4DLggtFWm6vQPglfL1GxkkQzu4KQFM5kMCn8LiEpvNHd\ntyUdYFMrltbLppHJxJ8XIiIijWkxsMHd+yB0+jOztcASEp702t3XJHm9pMWtJL6GkKtcB3wu6qMo\nIiIikp7kRid3mlnpqnAr3X1lIlduQHGTxPMJA1SOA44zsy3ADYSk8ffufnfC8TW30T4QKiWKiIhM\nxg53XzTOMeuAPc2sxd37om52S4C16YeXLXFHN38QwMxmE5LFY6Pbr0T7NxMljZJQJPYAACAASURB\nVO7+9UQjbWbl455ERESaTLUGrrj7JjO7AziVMGDlZGB9Cv0RM2+yy/JtBn4RbcWk8Z3Ah4GTok1J\nogwUPdPKbwevrwxaRKShVXEKHOAsYJWZnQtsA06v5GJmdlH0o7v7mSPsn6wh10vapKfAMbNlhEpi\ncduLwTl77q08NCmnREhERCR97n4/YS7opKxgsF5y5ij7JysbSaKZncFgUrg3Q5PCXxCtuOLuTyYZ\npIiIiDS5+u+PP9rk15W8skxNpv1dBpPC/0FJYbpGKa3X/+dEREQkpvr+8httPsTGmScReDNKCkVE\nRKTa6jhJHG0+xIaaJ9HdL0srEBlfXS5tV5wIPKXYTYtbi4iIpGLSA1ekCpT/TFg95s8iIhJDdUc3\nC0oSM618NLMSIRERaVoNWDgxs09FP17s7g/EOG8Z8HYAd/9sGrGBksSM80b8TIiIiEjwacKA4DuB\nCSeJwPKSc5UkioiISPOq1oorMkhJYqZ5yf/X56p8AwNL6jF4ERHJBnP1SRwqF90WqvEkInVJy/KJ\niEgTWhDd7kzzSWIliWa2zMzeYWZzR3l8bvT4vsmEJ0NLcOFnzfoiIiJNxxLYGoCZdQCnRXdXp/lc\ncZubPwm8GvjxKI9vBb4M/Ap4VwVxiYiIiAyo9wKJmZ3GYHJX7t/M7IPjXQLoBJ4FTCVUjq5LLsLh\n4iaJxwJXu3vfSA+6e5+ZXQ0cV2lgMpY6/6SIiIg0n6WEPKq8f5QBz41xnWISsA34asVRjSFun8Q9\ngbXjHLMO2Gty4Uip8pFc9ThPotJZERFJRHHwSiVbNozUAB6n0XwL8DPgqLSX9YtbSdwB7DHOMXsA\nuycXjgyV6qClqkprYElx9HQ9JtAiIhJD/VcdvgqsKrlvwCOEyuJZwNXjnF8Adrj75lSiG0HcJPE2\n4A1m9gl331T+oJntAbwR+GMSwSXFzL4OHAJc5e5fqHU8IiIiElOdJ4nuvpUwdmNAVOgwYFPaVcHJ\niNvc/BVgFnCzmb3ZzGYBmNksM3sLcBMwA1iZbJiTZ2aHA33u/hLgeWa2sNYxTVgDNDeLiIjIqPaJ\ntvGqiDURq5Lo7leb2ceBLwAXA5hZN9BePAT4iLtn6cU+H7g2+vl64DDgytqFE8MofzXV+R9TIiIi\nk9B4lZIsVg9LxZ5M293PA44AvgPcAawH/gx8CzjM3VOrIprZ+Wa22szczA4pe2w/M/uDmT1gZreZ\nWXGk0CzCCCCA7dH9OlH+gai/D4jV+5wFIiKSDZonseomtSyfu/8F+KeEY5mIS4HzCM3a5S4Evu3u\nq8zsTYTOoUcQRgHNiI6ZDjxchTgTMbgcX/3/ZqfVVF7/74yIiEg21dWyfO5+g7uvL99vZguAw4Ef\nRbsuAxab2XLgVgbnbTyaUPUsP/8cM1tvZk+Z2S5gdiovIKZcHVYOa0fvlYhIIzPzijeJZ8xKopm9\nI/rx5+6+veT+uNz9hxVFFs9iYENxkm93dzNbCyxx92vNbIWZ3Qj81t0fHyHWlZQMtjGzYYloTdjQ\nSpnWJxYRkaalpqOqG6+5eRWhRPNHQn++4v2xWHRMNZPEMbn7e2sdw2QoJRQREZFaGS9JPIOQq2wo\nu58164A9zawlWhrQgCWMvzpMtkXvdPkfT/U0FqSOQhURkQxTc3H1jZkkuvuqse5nhbtvMrM7gFMJ\n1c6TgfXu/lBNA6tU2Qeinj8erpErIiIyWRqdXBOxBq6Y2TvM7KBxjjkgTt/FmM9/YdRfcBHwWzMr\nTQLPAs4ysweAjwOnpxFDTQzLr/RJKaeJxkVERJIVdwqcVcCngbvHOOYk4LOk0CfR3c8a47H7gaOS\nfs4sUSIkIiLNqp66WjWKSc2TOI5WwiLUkhDlhiIi0vTUJ7HqJpMkjvqvZGatwIuAJyYdkQxoiE66\n+tNPREQS0BDfieOI8qjnA88B5gBt7v7ZWsUzbpJoZo+U7fq/ZjZSf788MA/oAC5KILamZwzNsdTc\nPJypf6aIiNS5KDk8Fzib4Qt6fLbs2H8ndO1b5+7HpxnXRCqJOUpXiBt9jFEv8DfgOuBziUQnDUP5\nrYiIVKJRG6bMbBbwW8LKceWvcqSvzyuADwHLzOx57n5HWrGNmyS6+9Liz2ZWAL5Sy9JnMxm9Xb+a\nUdQHJaEiIo0rtKw17H/pLwaOiH6+BfgBsBfwqZEOdvcbzezR6JhXAbVLEsvsA2xJIxAZQa7sA9Gw\nnw8REZHmY2avBk4gfMN/zd3PifafNM6p1wCnkfKsLrGSRHdfU/zZzBYBBwMzgG3AXe6ejTWPRURE\npLE0ZivaqdHtvYQm5Im6J7p9VrLhDBV7dLOZHQBcALxkhMduAN7n7n9LIDYZJpQS6+lzknYfkkbt\noyIiIkM1aHPzCwhf7j/xeEuTbYxuFyQf0qBYSWK02soNhOrhbcBNwOPAQkLSeAxws5kd7e5jTbgt\nzaYhP9siIiIVWRjdPhjzvO7otiPBWIaJW0k8D5gKnOzuPy9/0MzeCPwU+DKhM6VUIJcfLbNS+axc\namtDi4hIJjToN19/dBs3H5sT3aY6TiTW2s2EibIvGSlBBHD3y4GfAS+uNDAZzlWOExGRpuSYVb5l\n0KbodlnM8w6LblMdCxI3SewF1oxzzGqgZ1LRyIgy+WstIiIilfojoUg63mjmAWY2FXgTIT24KaW4\ngPhJ4nWEfodjOTo6TiqUK2tCLd6tp5K7VkQREZGKGY1aSbw8un2emf3jBM85n8Hm5p8mH9KguEni\nOcBiM/u2me1V+oCZ7WVm3wEWR8dJhRopvUqrqVxJqIhIczCrfMuaqJve3YSv/O+Y2fvNrG2kY81s\nmZldCpxOqCL+3t1vTjO+uB0lvw88DZwJnGZma4AngPnAM6Lr3Q2ssqH/Gp72+oLS3DL596GIiMj4\n3kJodp4FfAX4DPBo8UEzuxpYAiwv7iLkXu9IO7C4SeKxJT+3EgJeXnbMwSOcp+/wimTwzx8REZEq\nymWzubhi7v6AmR0DXEbIqWYSphosvuCXRrfFZOBB4LXu/igpi7viStzmaamIj3FPRESkeWS0T2Ei\n3P0eMzsQeCdhub1DgXzZYfcQWnS/6e7dVEHsFVekeso/EPU4F2AW+4CIiEh9MRo7SQSIEr9vAN8w\ns07CGI9ZwA7gUXd/utoxKUmsQ8q7BikJFRGRRuPuO4C/1zqOSSWJZrYYOA7YE2gf4RB3989VEpg0\nljosgoqISIY0YlHAzF4LXOnu/eMeXAOxk0QzWwmczdDpc4zBLnPFn5UkVqgBPw+pqcemeBERmbgG\nHbjyP8AmM/sR8AN3v6fWAZWKNRDFzN4DfBC4BngzIY/5AXAKoR29B7iUwZE4kqSG/HyIiIg0tfnA\n/wXuNLPbzey9ZjZnvJOqIe5o5XcCDwMnRhNAAqx290vc/f2EJujXAXskGKPUsUZsHhARkepr0BVX\nLgG6icbmEEY1nw88ZmY/M7MTzaxmM8vEfeL9gf/n7oWSfQNN1u7+R+CXwIcSiE3KDKxaosRrgFZc\nERFpDjm84i1r3P0UwviO9zC4jrMBbcAbgSuA9WZ2npk9t9rxxU0S+4HtJfd3EMqkpR4BnlNJUBLk\nWgrjH1Qn1GdQRERkOHff6u4XuvsLgWcBXwLWM5gwLiQU3+42s1vN7D1mNqsascVNEtcBi0ru3w8c\nXXbMEcCWSoKS8ah6Vk4pqIhIY2vEtZvLufsD7n4uYanjE4AfA10MJoyHARcAG8zsEjN7dZrxxE0S\nr2doUngJ8Gwz+5WZ/R8z+2/gGODqpAIUlAGJiEhzszC6udKtXnhwjbufShjn8W7gZgaTxXbgTYTm\n6NTETRK/B/zazIrVxK8BVwGvJmS2bwP+DHw0sQgrYGb7mdkfzOwBM7utFu35SaqfX+9SdfCnm4iI\nSEa5+3Z3/667vwTYD/giYTYZSPlLNu7azXcQOlcW7/cAJ5rZ4cAyYC3wp7KBLbV0IfBtd19lZm8C\nVhGawzPprRe9mzmLugbuH7ok3Ba787nGrQynN0NEpOEZmR2dXDVm9hzCus5vB1qr8ZyJLMvn7rcD\ntydxraSY2QLgcEKbPsBlwAVmttzdHyo79hzgHGBKtNXkNzHfYeyz6Ilh+7u3jbSoTX1p7o+2iIhU\nqp6ai5NiZrOBfyAkh4eVPhTdrk7z+Rt57ebFwAZ374PQvm9ma4ElwJAk0d1XAiuL981sfTUDLdq8\nrp/buwfHBfVvbGfn2rnsvrcwmOqCqmcj0ehpEZGG1iyVRDPLE7rxnQacSJgOBwa//XcSCl+r3P33\nacYymWX5DgDeS6jSzQLyIxzm7r6swtiazlUf++6Q+0cf9QlsXifGrhpFJCIiItVgZgcTEsN/YHB6\nwWJi6MCNwPeBn7n7zmrEFCtJNLPjgV8Tsto+4PHodtihlYdWsXXAnmbW4u59ZmaEKuLaGsc1Ye7l\nb2T9/RVVD1MOiIhI9jVic7OZzSf0MTwNOKi4u+SQNcAPCes6P1Ll8GJXEr9IGBG9Avhvd+9PPKKE\nuPsmM7sDOJUwYOVkYH15f8R6ohbV4bTiiohIc8jiiikJeJTBFtniF9ou4HJCc/K1NYkqEjdJPBD4\nsbv/MI1gUnAWsMrMzgW2AafXOJ5JsjHuiYiISJ0qzcNuJjQnX+LuO2oUzxBxk8QtwOY0AkmDu98P\nHFXrOCbNo3RwWFZYh2miyqAiIlKBBh24so7QnLzK3R+udTDl4iaJlwMvM7N8lpuaG0ZjfiBSoXdK\nRKRxGY3ZJxFY6p7dKkrcFVc+DuwAflKy6orIqNRnUEREZGRZThAhfiXxLsLI5iOBk81sM6GvXzlN\ngZMCV71sGI2eFhFpDg1aScy0uEliDuhl6DQyI31N66s7RXpzRUSk2ShJrL64azcvTSkOiaMOs8Rs\nF9RFREQmxszeD7yb0B3egfPc/UfjnHNR9KO7+5kj7J+sIddLWiMvy1f/lFhNWMa7dYiISCXMs1RJ\n/BvwInffamaLgb+Y2S3jjE5eweC3+pmj7J+s1JLEWANXzOxLZrZfWsGIiIiIlDPCZNqVbklw99+5\n+9bo53XARmDxBF/GaPsnu6UqbiXxo8BHzOwm4HuE9QN3Jx+WwPBBGfVYLdPAEhERSUKGKokDzOxl\nwGzgtnEO3Sfm/kyImyS+glDWPAl4MXC+mV0MXOTutyYdXLNz9yF/JtRhjpg65aAiIhJDp5mtL7m/\n0t1Xlh5gZrcAo7WaHhpVDzGzAwkrpLzV3XeO9aTuvibO/qyIO3DlauBqM5tNWBP5TELnzXeZ2b3A\nd4EfuftTiUfajEbJgOoxMdL0PSIiUomEKok73H3MeZ7dfdyV2szsOcCvgDPc/aYkAsuiuJNpA+Du\nm9396+5+CHAE8C1gb2Al8KiZXWJmr0gwTpExKQUVEWlsuWjwSiVbEszs2cCVwLuj4lkl1/pUtD0z\n5nnLiudW8vzjmVSSWMrd/+zu7wXeBmwgTLb9JuBKM3vYzE6v9DmaljIfERGRrDkfmAl82czujLbJ\nFsY+Dfwr8KyY5y0vOTc1FU2BY2Z7EoZvnw4sAwqE8usPgQOBdwHfNbOl7p7qC2lIPubd+qCRKyIi\nkoCsDFxx95fXOoZqiV1JNLMWMzvZzH5NWHnl84Rk81PAM9z9de5+aZQULgf+ROi3KHFZ2W02Ph+Z\nYkpCRUQaXpamwMmIYv5WSPNJYlUSzewrwNuBuYTl+X4OfGe0Nnl332VmVwKfqTRQKaXESEREpIkt\niG7HHFVdqbjNzR8A7ge+DPzA3Z+cwDnXAfm4gUlj0fQ9IiJSiaw0N9eamXUAp0V3V6f5XHGTxGPc\n/cY4J7j7zcDNMZ9HJBYloSIijS1nqbasps7MTmMwuSv3b2b2wfEuAXQSBrlMJXRCuy65CIeLO0/i\nqAmimeXcvb7/BTOrfpuX6zdyERGRRC0FjmX4CAMDnhvjOsWv1m3AVyuOagxx125+oZmda2azSvbN\nMrOfA7vMbLuZfTbxKAWAQmN1uk2EklARkWZQ+RyJGWquHmnt5TjrNW8BfgYclfaKLXGbmz8OHODu\nXyjZ95+EZfoeJJRBP2lmd7v7pQnF2MQy8wstIiJSM8XRzXXuq8CqkvsGPEL4sj8LGG9i7gJhxZjN\nqUQ3grhJ4qHA74p3os6TpwC/cfdXm1kncBfwXkBJYkrqcdaXuv9oi4iIVMDdtwJbS/dF07gZsCmL\n6zjHTRLnAo+V3H8BMAW4CMDdd0TzJ74lmfCaXB0mg7WitaFFRBpbhpqLk7RPdLupplGMIm6SuJPQ\npFx0HKFIdH3Jvl3AjArjkgahya5FRKRiVv+jm0eSxephqbhJ4n3AK82sndA2/lbgTnd/ouSYZwCP\nJxSflPBCQ/4VVREloSIizSHfmJXETIubJH4duBh4COgmlEnLl9x7AXB35aGJPg8iIiLNJZpBZhEw\nkwksRuLuN6QVS9x5Ei8xs/nAGdGuT7j794qPm9nRwCzgyuRCrIyZfR04BLiqbFR29vnIVTKrw86K\nf39kI/19/Tgwq3MKz9l3j1qHJCIidaJBRjePysymAmcDK4BnxjjViV/wm7DYF3b3bwDfGOWxG4DZ\nlQaVFDM7HOhz95eY2aVmttDd66cpvP5ywWE62sKv2DcuvWnI/p9+4TT22WtuYs/T1dvHpm07cMLo\n7wXTO8c9R0RE6kcj9kkEMLNnAFcB+5Oxb/7Uss+MeD5wbfTz9cBhZKjKOWGZ+pWJ56VH7EfOjK7e\nPgz4833r+M0t97F5265EksRc1Cfxynvu58p77h/Y/7FXHM2KFx1W8fVFRETSYmZ54BeEpfYgLGN8\nE/AxQpXwEmAdoXvfccCcaP+lwL1px1c3SaKZnQ+8jjAw5lB3v7Pksf2AHwDzCHMQrXD3vxGavv8a\nHbY9ul9H6r+03tHWyitf+OyB+w785pb76O7tT+T6U9pa+ZfXvJT/feJpzKC3v8DFt93Nw08+ncj1\nRUQkCzK1YkqS3gocTPh6/LS7fw7AzD4WPf4Td78i2tcBfBj4V+AVwLfcPTtrN9fYpcB5hAy73IXA\nt919lZm9iTCj+RGEpWuK0/FMBx6uQpzJiapkPrWdY048D4AFwBrWcMxV59UwsMpMAT7+8UtSu/4C\n4IY//4Vjvv2X1J5DRKSeFJ7ewo231Fe3/HL5BiicjOCN0e29xQRxNO7eBfybmW0AvgP8t5kd5O5P\nphVc3SSJxdE75VOemNkC4HDghGjXZcAFZrYcuBV4G/BL4GhC2XYYMzsHOIeQv0whIyW8f/3SP/C5\nz/0Sbxm6xHYdtz5XTSb+AUUkMY1ZRKoi1xuYUYcRvrIuH+XxXPkOd/+emZ1BmE3m3UBq2X/dJIlj\nWAxscPc+AHd3M1sLLHH3a81shZndCPx2tEEr7r4SWFm8b2brqxH4eI4/9iCOP/agWochIiJScw06\ncGV+dPtA2f4CoSbUPsp5lwFHASfR6Emimd0C7DfKw4e6+7rJXtvd3zvZc0VERKT2jIZdlq81ut1V\ntr+4wt28Uc4r5kVLU4hpQCaSRHc/qoLT1wF7mlmLu/dZaI9eAqxNJjoRERGRVGwmVBPL523bFO1b\nPsp5xQpkqgNyh7V11xt33wTcAZwa7ToZWO/uD9UuKhEREUlS3rziLYMejG6Xlu3/K6GA+rJRzntp\ndJvqVB51kySa2YVRX8FFwG/NrDQJPAs4y8weAD4OnF6LGEVERCQdOQoVbxl0OyEZPLRsf3FO5+eY\n2ftKHzCzU4A3EAa8/CnN4DLR3DwR7n7WGI/dT+jAKSIiIg2oQfsk/g74AHBcsdtctP9i4HOEZuWv\nmdkKwuCWZxISSiMkid9KM7i6qSSKiIiINJirgdVAFyVNy+6+HTgT6GOw0vhWBhNEgG+6+2/SDK5u\nKokiIiLSnAwnn83m4oq4ezew7yiP/drMjiZUFI9lMGe7D1jp7t9NOz4liSIiIpJ5DdrcPCZ3/xNw\ngpm1EKbD2RlVGatCSaKIiIhIhkV9FTdW+3mVJIqIiEjm5RtzxZVMU5IoIiIimWZAjuZrbq41jW4W\nERERkWFUSRQREZFss/pubjazi1K6tLv7mSldW0miiIiIZJ2Tq+MkEVgBqbWXK0kUERERqWM2/iGx\npdpRU0miiIiIZF6+vgeu7FPrACZDSaKIiIhkmkFdNze7+5paxzAZShJFREQk8+q8kliXNAWOiIiI\niAyjSqKIiIhkXj03N0+UmbUCzweeA8wB2tz9s7WKR0miiIiIZJoBeRo3SYySw3OBs4HZZQ9/tuzY\nfwdOAta5+/FpxqXmZhEREZEaMbNZwE3ApwjVQyvZRnIFsBw41syel2ZsShJFREQk45ycVb5l1MXA\nEYSk8BbgnyirHpZy9xuBR6O7r0ozMDU3i4iISOY1YnOzmb0aOIEwKfbX3P2caP9J45x6DXAacFSa\n8amSKCIiIlIbp0a39wIfinHePdHts5INZyhVEkVERCTz8o05uvkFhCriT9w9Tnv4xuh2QfIhDVKS\nKCIiIplmQK4xJ9NeGN0+GPO87ui2I8FYhlFzs4iIiEht9Ee3cYt2c6LbLQnGMowqiSIiIpJ5Ddrc\nvAnYB1gW87zDotv1yYYzlCqJIiIiknFOjkLFWwb9kdCaPt5o5gFmNhV4E6Ev400pxQUoSRQREZE6\nkDeveMugy6Pb55nZP07wnPMZbG7+afIhDVKSKCIiIlID7n45cDehmvgdM3u/mbWNdKyZLTOzS4HT\nCVXE37v7zWnGpz6JIiIikmkNvnbzWwjNzrOArwCfYXBFFczsamAJYSk+CG/HE8A70g5MSaKIiIhk\nXq4xB67g7g+Y2THAZYREcCYwAwbm/HlpdFtcy/lB4LXu/igpU3OziIiISA25+z3AgcDZwO1AgZAU\nlm73AOcAB7n7A9WIS5VEERERybwGbm4GwN27gW8A3zCzTmAxoQl6B/Couz9d7ZiUJIqIiEimmZHV\n0ckVMbM7oh+vdvePFfe7+w7g77WJapCam0VERERq4yDgYOCRWgcyElUSRUREJOM8q5NhV+pJYD7w\nVK0DGYmSRBEREcm8Bl2W72FCkrhnrQMZiZqbRURERGrjF4SRy6+pdSAjUZIoIiIimVacTLvSLYO+\nBawBXmZmb691MOWUJIqIiEjm5fCKt6xx9+3AScA6YJWZfdXM9qlxWAPUJ1FERESkBszs2ujHbYSl\n984GzjazDYTEcfc4l3B3Pz6t+JQkioiISOY16MCVYxlcfq94a4SBLOMNZrGSc1KhJFFEREQyL5/B\n5uKE2AT3VZ2SRBEREck0a9x5EjPT/3AkShJFREREasDd19Q6hrEoSRQREZHMy1qfRDNbANwD3OLu\nr691PGlQkigiIiKZl8E+iRcCvwLm1jqQtGieRBEREZEYzOxM4H+BG2sdS5qUJIqIiEimGZAzr3gD\nOs1sfcl2TuxYwmTX/wR8MuGXmTlqbhYREZHMS2hZvR3uvmisA8zsFmC/UR4+FLgIeJ+77zbLxEw1\nqVGSKCIiIhJx96NGe8zMZgIHAT+NEsROYKqZ/S7NlU9qRUmiiIiIZF4WBq64+1ZKBqqY2Qrg9Rrd\nLCIiIlITA30KpYo0cEVERERkEtx9VaNWEUGVRBEREck4IxvNzc1GSaKIiIhknpLE6lOSKCIiIpmX\na+zZZjJJfRJFREREZBhVEkVERCTT1CexNpQkioiISOap6bP69J6LiIiIyDCqJIqIiEjm5TVwpeqU\nJIqIiEjm5VGWWG1qbhYRERGRYVRJFBERkUwzVNWqBSWJIiIiknl5U3NztSkxFxEREZFhVEkUERGR\nzMtp4ErVKUkUERGRTDNMo5trQEmiiIiIZJ4qidWnPokiIiIiMowqiSIiIpJtptHNtaAkUURERDIv\np8bPqmv4d9zM5pjZn81sR61jEREREakXzVBJ3A68HLik1oGIiIhIfGHFFTU3V1vDJ4nu3gs8berL\nICIiUrfy1vCNn5lTV++4mZ1vZqvNzM3skLLH9jOzP5jZA2Z2m5k9t1ZxioiIiNS7ukoSgUuBFwNr\nRnjsQuDb7v5M4MvAqirGJSIiIqkxcgn8T+Ixd691DLGZ2Wrg9e5+Z3R/AfAQMMfd+yy0LW8AXuzu\nD0XHXOPuLxvleucA5wBToq3X3Wem/0pERERkPGZ2G7BnApfa4O5HJHCdptAofRIXE/7h+wDc3c1s\nLbAEeMjMrgEOjW4/6O5/LT3Z3VcCK6sdtIiIiIxPiV1tZCZJNLNbgP1GefhQd1832WuPVkEUERER\nkZFlJkl096MqOH0dsKeZtZQ0Ny8B1iYTnYiIiEhzaYhenO6+CbgDODXadTKwvtgfUURERETiqauB\nK2Z2IXAisAfwFLDd3ZdHj+1PGNE8F9gGnO7u99QoVBEREZG6VldJooiIiIhUR0M0N4uIiIhIspQk\nioiIiMgwShJFREREZBgliSIiIiIyTGbmSaxXZnYf0JnwZTuBHQlfs9noPayc3sPK6T2snN7DyqXx\nHu5w92clfE3JGI1uziAzW+/ui2odRz3Te1g5vYeV03tYOb2HldN7KJOl5mYRERERGUZJooiIiIgM\noyQxm1bWOoAG8P+3d+/RUpVlHMe/PxXNzEuZpMcbBFlmCbYyZYVJy8pSuyiK0U3MZWn31C6ia0W5\nCrta2tKwLMtLoUKCS1amLo6mZmmQWZZhgaRImppQCmg8/fG+Wzcz+wwznDnMnMPvs9asOfPud+/9\n7GfmzHnPu993b+ew/5zD/nMO+8857D/n0DaIxySamZmZWR33JJqZmZlZHTcSzczMzKyOG4ldRNLL\nJN0m6a+S7pC0T6dj6maSnifp6pyvuyRdL2l0XjZc0i8kLZL0R0lv6HS83U7S8ZJC0rvya+ewBZK2\nkvTdnK+7JV2ay53HJkk6TNICSb/PuToulzuHfZB0rqQl+Xd3bKm8z5xJer6kn0q6L39/Ht2Z6K3b\nuZHYXWYAF0bEXsBXgYs7G86gcCHw8ogYA8wBfpDLzwZuj4iXAccDl0samzPHbQAACypJREFU1qEY\nu56kEcCJwO2lYuewNWcDAewVEa8GTiuVO4/rIUnApcCUiBgLHAHMkLQtzmEjVwHjgftryhvl7DRg\ndUSMBg4Fzpe048YK2AYPNxK7hKThwGtJX5IAs4Ddi54xqxcRqyJiXjw3++p2YET+eRLwvVzvDmAZ\ncPBGD3IQkLQZqXH9cWB1aZFz2CRJ2wAnAGcUn8eIWJ4XO4/NC2CH/PN2wKOkz6Rz2IeIuDkiHqhY\n1Chnx5aWLQZ6gSMHPFgbdNxI7B67Aw9FxDMA+Q/NUmCPjkY1uHwSmJP/Ix5W+iMNsATnsi+nALdG\nxO+KAuewZaOAx4Cpku6U9CtJhziPzcvfeccCsyXdD9wCHAdsi3PYkiY+d3uwbs9jeZnZs3zvZhsS\nJE0FRgOHAFt3OJxBQ9KrgImAx3j1zxbAnsA9EfF5SfsB1wMeV9wkSVsAZwJHRcTNkvYH5gJjG69p\nZgPFPYnd4x/ALvmLshifswepN9EakHQacBTwtoh4MiIeBZ6RtHOp2gicyyoHkXKzSNIS4EDSOM9J\nOIetWAqsBS4DiIiFwGLg1TiPzRoL9ETEzfDsKdIHgH1xDlvSxHfgUtI/NVXLzJ7lRmKXiIiHgQXA\n+3LRROCBiLivc1F1P0mnAJOBN0fEv0uLrgROynX2B3YFbtr4EXa3iLggInaJiBERMYI0rvNDEXEB\nzmHTIuJfwI2kSQBIGgmMBP6M89is4h/lvQHyeOxRwL04hxuiUc7Ky0YCE4CrN36I1u18x5UuIunl\npBnNOwIrgOMj4u6OBtXFJO1G+sPyd2BlLl4dEQdIeglwCekP9RrgYxExvzORDh6SeoFvR8TVzmFr\nJL0UuAh4MalX8UsRMct5bJ6kycBUUv42A6ZHxOXOYd8kzQAOB3YmTfRZGRGjG+UsT7T6IWmy5P+A\nMyPiik7Eb93NjUQzMzMzq+PTzWZmZmZWx41EMzMzM6vjRqKZmZmZ1XEj0czMzMzquJFoZmZmZnXc\nSDTbhEmaIikkTel0LP0laZykXkmP5WPq6HXfJC3JFyhvZZ2Lc+wjBiQoM7MW+LZ8ZjboSdoBuIb0\nnfYT0n2U/9LRoMzMBjk3Es1sKNifdBH60yPi7E4Hkx2yAeucDpwNPNjmWMzMWuZGopkNBbvk5+Ud\njaIkIv62Aes8BDw0AOGYmbXMYxLN2kzShDyubJqkAyXNl/SfPFbuMkk79VW/0bZqyiOPv9tN0sy8\n7SckXZlvx4Wk1+d9r5T0iKRzJA1rEPcxkhZIekrSMknfzLfvqqo7Me//iVx/oaQPVdSblmOdIOlE\nSX+QtErSxU3k8QWSvixpkaTV+RhmSRpTmwvgx/nlj/L+QtKE9Wy/N9fbOufmwRzbQknHVNTfS9LX\n8/LHc90/STqzKq9VYxJLYw5HSfqcpL9KWlO8v1VjEsvjRiUdJuk3OecPSzpf0vP7yN05+X18Kr+v\nx/Q1BlXSmyRdL2l5zvVDkm6Q9M5GOTSzoc2NRLOB8zpgPum+0t8D7gXeA8yVpDZs/4XAr4Ae4EfA\nncDRwBxJ44Ffku7leiHwCPAp4Mw+tnUM6T6vdwPfIfVmnQJcI2md7wlJXweuAvYEZgIzgC2BGZLO\n6WP7nwO+Vdr+XY0OTNLWQC/pPr7/yuv+AjgC+LWkN5SqfxGYk3+ek19/EVjSaB8lVwLvBH5Gup/t\nnsAVkj5YU+8o4HhgEekezd8n3ff2rLyNVnwXOBW4lZSPvzexzruA2aTjOh/4J3ByjvlZkjYH5pHe\n7weBb5Pyfgnp80FN/SNIn5V9SPkrct0DvL3F4zKzoSQi/PDDjzY+gAlA5MfEUvlmwI25fFxF/WkN\ntjWtprzY/tdqyufm8seBw0vl25Aafo8Bw0rlU0rbOrgm1qtz+QdL5YfmslnAVqXyYaX6+5fKp+Wy\nJ4BXtJDDYr0ZNeUHA2uB+4DNKo5jSgv76M3r/AHYplQ+Mse7Ati+VN4DbFmzDZEaiwGMr1m2BFhS\nU3ZxrrsY6KmIqVg+ouLY1gAHlsqfB/w552PXUvmJuf5VgGpyV7zXU0rls4HVwPCKeHbs9O+TH374\n0bmHexLNBk5vRMwqXkTEWtLMW4DXtmH7/yE1psquyM8LIuLa0r7/C1xL6n3crWJb10XETTWxTs0v\n31eq91FSI+PkiFhdqv80z/VSHlux/QsjopXZxscBq6jp+cwxzgVGAeNb2F4jX8n5KfaxmNQ7ui2p\nh7EoXxYRa2riCeCC/PJNLezzGxGxrMU4L4uI20v7XkXq/RTwmlK99+bnM3J8Rf2bSD2EVZ4mNULX\nERGPthijmQ0hnrhiNnAWVpQVs1Z3aMP2F0XEkzVlxaSHqtO5xaSOHlJPVtkttZUj4h5JjwPlMYAH\nkHrYPlJxxrwYl/eKin3fWVFWSdJ2wAhgYUQ8UlGll9R4GwPc3Ox2G6g79lz2GUrHnk+7n0Dq2dsH\n2I7UQCvsQvOazkdJs5+nMcCjEXFvRf3bgLfWlM0EjgT+KOly0hCJWyNixQbEaGZDiBuJZgOn6o/s\nM/l58wHa/v+a2HfV5JWqxhikcW+jS69fRPre+EKDuKomuzzcoH6t7Ur7rrK8pl5/VR17se/yPs4D\nPgLcTzpFu5zU+7YD8Elgqxb22Uo+Cs1+nrYljZtsar8RMVPS06QxkqeSGsdPS7oG+HRELN2AWM1s\nCHAj0azz1ubnqt/HdjWE1menPspfwrqNkxXAUxFRdcq6kVh/lXX2Uey7r5jK9fprJ+CBRvvIM8ZP\nJvXQjouIp4qKkg4gNRJb0Uo+WrWSvt/P4VWFETEbmC3phcBBwGTg3cAoSfuVT1ub2abDYxLNOu/f\n+bmnYtl+GymGuvF9kl5JGsNYPnX9W2BXSbsPVCD5NOdiYG9JL66ocnB+/n2bdlk1trEoK459JOnU\n8g3lBmL2+jbF0S53ATtK2qti2bhGK0bE4xExNyImkyZZjQH2GIAYzWwQcCPRrPP+QpqE8g6l28sB\nIOmlwCc2UgyHSioaX8X4uy/nl5eW6p2Xny+StH3tRiSNVHvuO/wT0uzds2q2fxDpUjB/I10+ph2m\nlq8HKWkk8GFSj1xxaZ3ilOu48uWLckPs9DbF0S4/zc9n1cQ6nvrxiEg6KF82p1y2BWloAaSZz2a2\nCfLpZrMOi4g1ks4HPgsskDSH9Af6SOB60vX5Bto84DpJM4FlwFtIM2Z7SZdlKWKdJ2k6qWF0n6Tr\nSKdqhwN7kya2vIfmr1HYl6+Srol4kqR9SRNUdiXNnF5FuizP2gbrt2IpcLekn5MappNJp/lPiIgn\nIM1szsuPBO6QNJ/U8/sO4DpgYptiaYeLgA8Ak4CRkm4kTao5lvQ+H85zQxwgNfx3lnQL6X3bnDRT\n+1XA5RHRNXexMbONyz2JZt1hKqnnbkvS5IjX5OfzGq3URlcC7wf2JV2EuQc4BziitjEWEVOBw0in\nnt9Kuuj2oaRJHJ8BbuhvMPmU7huB6aTxgaeSGjfXksYEtmNWc2ESqcdwMmn28lJgUkT8sKbeccC5\npPF+HwPGAmeQjrlrRMQzpPflXGB30vs5htRwnJ+rrSytMp3UCN+P9Jn7APAkcBLpmM1sEyWPRzaz\nTZGkXtIFxNtx95tBQdIlpOte7hMR93Q6HjPrbu5JNDMbYiTVXbMxj0l8N+n6mm4gmtl6eUyimdnQ\n831JPaQhAStIFzgvxiJurMlQZjbIuZFoZjb0XEGaoX00sD3pXtTzgOkRcVsnAzOzwcNjEs3MzMys\njsckmpmZmVkdNxLNzMzMrI4biWZmZmZWx41EMzMzM6vjRqKZmZmZ1XEj0czMzMzquJFoZmZmZnX+\nD2T1+TXgqY8YAAAAAElFTkSuQmCC\n",
      "text/plain": [
       "<matplotlib.figure.Figure at 0x10cba0d50>"
      ]
     },
     "metadata": {},
     "output_type": "display_data"
    }
   ],
   "source": [
    "sm3 = plt.cm.ScalarMappable(cmap='viridis_r')\n",
    "sm3.set_array(timing_relative)    \n",
    "\n",
    "fig = plt.figure(figsize = (9,13.5),dpi=80)\n",
    "ax = plt.subplot(211)\n",
    "set_axes(ax)\n",
    "\n",
    "ax.plot(np.arange(len(AP_amp[:])),AP_amp[:],'k-',lw=3, label = 'somatic AP')\n",
    "ax.plot(np.arange(len(bAP_amp[:])),bAP_amp[:],color = mycmap(0.8), linestyle = 'dotted', lw=3, label = 'bAP at inh')\n",
    "ax.plot(np.arange(len(bAP_distal_amp[:])),bAP_distal_amp[:],color=mycmap(0.4), linestyle = 'dashed', lw=3, label = 'distal bAP')\n",
    "plt.xlabel(\"number of pairings\",fontsize = 'xx-large')\n",
    "plt.ylabel(\"membrane potential [mV]\",fontsize = 'xx-large')\n",
    "ax.legend(prop={'size':15}, frameon = False)     \n",
    "plt.xticks(np.arange(0,NO_REPS+1,20),np.arange(0,NO_REPS+1,20))        \n",
    "\n",
    "pairingrange = np.arange(len(bAP_distal_amp[:]))    \n",
    "bAP_fail = pairingrange[bAP_distal_amp[:]<-20]\n",
    "bAP_fail = np.min(bAP_fail)\n",
    "plt.title(\"bAP fails at pairing %d\"%bAP_fail)\n",
    "plt.ylim(-80,40)\n",
    "      \n",
    "\n",
    "ax2 = plt.subplot(212)\n",
    "set_axes(ax2)\n",
    "\n",
    "for i in np.arange(len(w[:,0])):\n",
    "    c = ((-timing_relative[i]+abs(np.min(timing_relative))))/timing_range\n",
    "    ax2.plot(np.arange(len(w[i,:NO_REPS*interval*int(1/DT)+1])),((w[i,:NO_REPS*interval*int(1/DT)+1]-w[i,0])/w[i,0]),color = mycmap(c))\n",
    "    plt.xticks(np.arange(0,NO_REPS*interval*int(1/DT)+1,interval*int(1/DT)*20),np.arange(0,NO_REPS+1,20))        \n",
    "    plt.ylim(-10,1000)\n",
    "    ax2.set_yscale(\"symlog\", linthreshx=1)\n",
    "    plt.xlabel(\"number of pairings\",fontsize = 'xx-large')\n",
    "    plt.ylabel(\"synaptic weight [nS]\",fontsize = 'xx-large')\n",
    "cb = fig.colorbar(sm3)\n",
    "cb.set_label('relative timing $[ms]$', fontsize = 28)\n",
    "plt.show() "
   ]
  },
  {
   "cell_type": "markdown",
   "metadata": {},
   "source": [
    "Figure 2C"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": 16,
   "metadata": {
    "collapsed": false
   },
   "outputs": [
    {
     "data": {
      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEYCAYAAAAJeGK1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
      "text/plain": [
       "<matplotlib.figure.Figure at 0x10cbeb1d0>"
      ]
     },
     "metadata": {},
     "output_type": "display_data"
    }
   ],
   "source": [
    "plt.figure()\n",
    "count = 1\n",
    "ax = plt.subplot(111)\n",
    "set_axes(ax)\n",
    "w_fix = w[:,-1]\n",
    "w_fix = w_fix*1000\n",
    "w_fix1 = w_fix[timing_relative<1.27] \n",
    "w_fix2 = w_fix[timing_relative>=1.27]\n",
    "w_fix1_wrong = w_fix1[w_fix1<1]\n",
    "bins=np.histogram(np.hstack((w_fix1,w_fix2)), bins=40)[1] #get the bin edges\n",
    "p1 = ax.hist(w_fix1, bins, color=mycmap(0.8), edgecolor = 'None', label = 'Delta t < 1.27ms')\n",
    "p2 = ax.hist(w_fix2, bins, color=mycmap(0), edgecolor = 'None', label = 'Delta t >= 1.27ms')\n",
    "p1 = ax.hist(w_fix1_wrong, bins, color=mycmap(0.8), edgecolor = 'None')\n",
    "\n",
    "plt.xlabel(\"synaptic weight [nS]\", fontsize = 'xx-large')\n",
    "ax.legend(prop={'size':15}, frameon = False)\n",
    "plt.tight_layout() \n",
    "plt.show()"
   ]
  },
  {
   "cell_type": "code",
   "execution_count": null,
   "metadata": {
    "collapsed": true
   },
   "outputs": [],
   "source": []
  },
  {
   "cell_type": "code",
   "execution_count": null,
   "metadata": {
    "collapsed": true
   },
   "outputs": [],
   "source": []
  }
 ],
 "metadata": {
  "kernelspec": {
   "display_name": "Python 2",
   "language": "python",
   "name": "python2"
  },
  "language_info": {
   "codemirror_mode": {
    "name": "ipython",
    "version": 2
   },
   "file_extension": ".py",
   "mimetype": "text/x-python",
   "name": "python",
   "nbconvert_exporter": "python",
   "pygments_lexer": "ipython2",
   "version": "2.7.13"
  }
 },
 "nbformat": 4,
 "nbformat_minor": 2
}